0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Synopsys 設(shè)計(jì)平臺(tái)獲得TSMC最新版且最先進(jìn)的5nm工藝

電子工程師 ? 來(lái)源:網(wǎng)絡(luò)整理 ? 作者:工程師d ? 2018-06-01 09:35 ? 次閱讀

Synopsys近日宣布, Synopsys 設(shè)計(jì)平臺(tái)獲得TSMC最新版且最先進(jìn)的5nm工藝技術(shù)認(rèn)證,可用于客戶先期設(shè)計(jì)。通過(guò)與TSMC的早期密切協(xié)作,IC CompilerII 的布局及布線解決方案采用下一代布局和合法化技術(shù),最大限度地提高可布線性和總體設(shè)計(jì)利用率。借助重要的設(shè)計(jì)技術(shù)協(xié)同優(yōu)化工作,通過(guò)使用PrimeTime Signoff和StarRC提取技術(shù)實(shí)現(xiàn)ECO閉合,IC Compiler II 實(shí)現(xiàn)了對(duì)高度緊湊的單元庫(kù)的支持。對(duì)于TSMC 5nm極紫外光刻(EUV)技術(shù)來(lái)說(shuō),通過(guò)部署非缺省規(guī)則處理和布線層優(yōu)化的通用技術(shù),最大限度地提高了寄生優(yōu)化的新機(jī)會(huì),從而創(chuàng)建出高度收斂的RTL-to-GDSII實(shí)現(xiàn)方案。

PrimeTime時(shí)序分析和Signoff認(rèn)證解決方案中的先進(jìn)技術(shù),已擴(kuò)展到整個(gè)數(shù)字實(shí)現(xiàn)平臺(tái),以實(shí)現(xiàn)面向TSMC 5nm工藝節(jié)點(diǎn)的快速增長(zhǎng)市場(chǎng)的差異化設(shè)計(jì)。PrimeTime中的參數(shù)化片上偏差 (POCV) 分析得到了加強(qiáng),可精確獲取由于工藝縮放和低電壓運(yùn)行而導(dǎo)致的非線性變化,而這些手段過(guò)去常用于實(shí)現(xiàn)上述目標(biāo)應(yīng)用的能源效率。


TSMC 5nm認(rèn)證還包括IC Validator物理驗(yàn)證Signoff,支持DRC、LVS和金屬填充。TSMC發(fā)布設(shè)計(jì)規(guī)則的同時(shí)也發(fā)布運(yùn)行集。TSMC和 Synopsys 之間的深度技術(shù)合作可實(shí)現(xiàn)先進(jìn)的工藝特性,如新的多網(wǎng)格填充優(yōu)化和LVS雙層次抽取。

為了加速可靠的模擬定制和數(shù)?;旌?a target="_blank">信號(hào)設(shè)計(jì), HSPICE仿真器以及 CustomSim和 FineSim FastSPICE 仿真器也都進(jìn)行了優(yōu)化,可支持TSMC 5nm FinFET工藝。該解決方案結(jié)合CustomSim先進(jìn)的IR/EM 可靠性分析能力,加快了AMS驗(yàn)證,以支持可靠的AMS設(shè)計(jì)。

TSMC設(shè)計(jì)基礎(chǔ)架構(gòu)營(yíng)銷事業(yè)部資深處長(zhǎng)Suk Lee表示: “我們與Synopsys在5nm工藝的合作可以為客戶在設(shè)計(jì)過(guò)程中帶來(lái)更高性能和更低功耗。為幫助客戶在5nm工藝技術(shù)的支持下實(shí)現(xiàn)目標(biāo)PPA,TSMC和Synopsys一直在廣泛的設(shè)計(jì)風(fēng)格上展開(kāi)合作,以推動(dòng)并讓設(shè)計(jì)性能實(shí)現(xiàn)最大化。”

Synopsys設(shè)計(jì)事業(yè)群營(yíng)銷和業(yè)務(wù)開(kāi)發(fā)副總裁Michael Jackson表示:“考慮到5nm工藝技術(shù)在規(guī)則和進(jìn)步方面的復(fù)雜性,我們必須進(jìn)一步提早開(kāi)始與TSMC的合作周期。此外,我們還必須提早開(kāi)始與早期5nm技術(shù)采用者的接觸。新工藝節(jié)點(diǎn)正在以前所未有的速度引入,我們與TSMC的合作確保了企業(yè)設(shè)計(jì)人員能夠在新節(jié)點(diǎn)上滿懷信心地設(shè)計(jì),同時(shí)最大限度地提高他們的投資回報(bào)。”

TSMC可提供Synopsys Design Platform技術(shù)文件、庫(kù)和寄生參數(shù),以便在5nm技術(shù)工藝中進(jìn)行先期設(shè)計(jì)。獲得TSMC 5nm FinFET工藝認(rèn)證的 Synopsys Design Platform的主要產(chǎn)品和特點(diǎn)包括:

? IC Compiler II 布局和布線: 全自動(dòng)、全著色布線及抽取支持,下一代布局和合法化技術(shù)以減少單元占板面積縮小,實(shí)現(xiàn)高設(shè)計(jì)利用率的高級(jí)合法化和引腳訪問(wèn)建模,以及實(shí)現(xiàn)通孔柱技術(shù)的流量部署,最大限度提高性能和器件產(chǎn)量;
? PrimeTime Signoff時(shí)間:低電壓的高級(jí)建模;
? StarRC Signoff提取:FinFET 器件擴(kuò)展的高級(jí)建模;
? IC Validator物理驗(yàn)證Signoff: 同時(shí)開(kāi)發(fā)DRC、LVS和填充運(yùn)行集,TSMC發(fā)布設(shè)計(jì)規(guī)則的同時(shí)也發(fā)布DRC 運(yùn)行集;
? HSPICE,CustomSim和FineSim仿真解決方案: 采用Monte Carlo功能支持的FinFET 器件建模;提供精確電路仿真結(jié)果,可實(shí)現(xiàn)模擬、邏輯、高頻和SRAM設(shè)計(jì);
? Custom Compiler自定義設(shè)計(jì):支持TSMC 5nm新版圖設(shè)計(jì)規(guī)則;
? NanoTime自定義時(shí)序分析:基于高級(jí)轉(zhuǎn)換的POCV變量分析和增強(qiáng)信號(hào)完整性分析,優(yōu)化嵌入式SRAM和自定義宏的入侵處理;
? ESP 自定義功能驗(yàn)證: 用于 SRAM、宏和庫(kù)單元設(shè)計(jì)的晶體管級(jí)形式化等價(jià)性驗(yàn)證;
? CustomSim可靠性分析:用于高級(jí) EM規(guī)則支持的精確動(dòng)態(tài)晶體管級(jí) IR/EM 分析。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 臺(tái)積電
    +關(guān)注

    關(guān)注

    43

    文章

    5570

    瀏覽量

    165868
  • 晶體管
    +關(guān)注

    關(guān)注

    77

    文章

    9582

    瀏覽量

    137462
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    臺(tái)積電3nm/5nm工藝前三季度營(yíng)收破萬(wàn)億新臺(tái)幣

    據(jù)臺(tái)媒DigiTimes最新報(bào)告,臺(tái)積電在2024年前三季度的業(yè)績(jī)表現(xiàn)強(qiáng)勁,僅憑其先進(jìn)的3nm5nm制程技術(shù),便實(shí)現(xiàn)了營(yíng)收突破1萬(wàn)億新臺(tái)幣(折合人民幣約2237億元)的壯舉,這一成績(jī)遠(yuǎn)超行業(yè)此前的預(yù)期。
    的頭像 發(fā)表于 08-28 15:55 ?344次閱讀

    消息稱臺(tái)積電3nm/5nm將漲價(jià),終端產(chǎn)品或受影響

    據(jù)業(yè)內(nèi)手機(jī)晶片領(lǐng)域的資深人士透露,臺(tái)積電計(jì)劃在明年1月1日起對(duì)旗下的先進(jìn)工藝制程進(jìn)行價(jià)格調(diào)整,特別是針對(duì)3nm5nm工藝制程,而其他
    的頭像 發(fā)表于 07-04 09:22 ?553次閱讀

    臺(tái)積電升級(jí)4nm N4C工藝,優(yōu)化能效與降低成本

    在近日舉辦的 2024 年北美技術(shù)研討會(huì)上,業(yè)務(wù)發(fā)展副總裁張凱文發(fā)表講話稱:“盡管我們的 5nm 和 4nm 工藝尚未完全成熟,但從 N5 到 N4 的光學(xué)微縮密度已提升 4%,
    的頭像 發(fā)表于 04-26 14:35 ?870次閱讀

    安裝最新版的IAR for stm8無(wú)法燒寫(xiě)程序怎么解決?

    我有兩臺(tái)電腦分別安裝win7和win10,并都安裝了最新版的IAR for stm8(V3.10)。win10系統(tǒng)那臺(tái)沒(méi)有任何問(wèn)題,win7那臺(tái)無(wú)法燒寫(xiě)程序一直提示“Failed
    發(fā)表于 04-22 07:49

    萊迪思全新版本Radiant設(shè)計(jì)軟件進(jìn)一步擴(kuò)展了功能安全和可靠性

    萊迪思Radiant集成了最新版Synopsys Synplify和三重模塊化冗余(TMR),可創(chuàng)建先進(jìn)的設(shè)計(jì)自動(dòng)化流程解決方案
    的頭像 發(fā)表于 04-03 15:50 ?543次閱讀

    TSMCSynopsys將在生產(chǎn)中使用NVIDIA計(jì)算光刻平臺(tái)

    NVIDIA 于今日宣布,為加快下一代先進(jìn)半導(dǎo)體芯片的制造速度并克服物理限制,TSMCSynopsys 將在生產(chǎn)中使用 NVIDIA 計(jì)算光刻平臺(tái)。
    的頭像 發(fā)表于 03-20 09:52 ?353次閱讀

    臺(tái)積電擴(kuò)增3nm產(chǎn)能,部分5nm產(chǎn)能轉(zhuǎn)向該節(jié)點(diǎn)

    目前,蘋(píng)果、高通、聯(lián)發(fā)科等世界知名廠商已與臺(tái)積電能達(dá)成緊密合作,預(yù)示臺(tái)積電將繼續(xù)增加 5nm產(chǎn)能至該節(jié)點(diǎn)以滿足客戶需求,這標(biāo)志著其在3nm制程領(lǐng)域已經(jīng)超越競(jìng)爭(zhēng)對(duì)手三星及英特爾。
    的頭像 發(fā)表于 03-19 14:09 ?516次閱讀

    Nvidia芯片工藝先進(jìn)封裝演進(jìn)洞察

    根據(jù)IRDS的樂(lè)觀預(yù)測(cè),未來(lái)5年,邏輯器件的制造工藝仍將快速演進(jìn),2025年會(huì)初步實(shí)現(xiàn)Logic器件的3D集成。TSMC和Samsung將在2025年左右開(kāi)始量產(chǎn)基于GAA (MBCFET)的2
    發(fā)表于 03-15 09:16 ?879次閱讀
    Nvidia芯片<b class='flag-5'>工藝</b><b class='flag-5'>先進(jìn)</b>封裝演進(jìn)洞察

    Marvell將與臺(tái)積電合作2nm 共創(chuàng)生產(chǎn)平臺(tái)新紀(jì)元

    Marvell與臺(tái)積電的合作歷史悠久成果豐碩,雙方此前在5nm和3nm工藝領(lǐng)域的成功合作已經(jīng)奠定了業(yè)界領(lǐng)先地位。
    的頭像 發(fā)表于 03-11 14:51 ?619次閱讀

    蘋(píng)果將成為首個(gè)采用其最新2nm工藝的客戶

    2nm工藝是臺(tái)積電采用的革新性GAA(Gate-All-Around)技術(shù),在相同功耗下相比當(dāng)前最先進(jìn)的N3E工藝,速度提升10%至15%,或在相同速度下功耗降低25%至30%。這一突
    的頭像 發(fā)表于 01-26 15:51 ?532次閱讀

    美滿電子推出5nm、3nm、2nm技術(shù)支持的數(shù)據(jù)基礎(chǔ)設(shè)施新品

    該公司的首席開(kāi)發(fā)官Sandeep Bharathi透露,其實(shí)施2nm相關(guān)的投資計(jì)劃已啟動(dòng)。雖無(wú)法公布準(zhǔn)確的工藝和技術(shù)細(xì)節(jié),但已明確表示,2至5nm制程的項(xiàng)目投入正在進(jìn)行。公司專家,尤其是來(lái)自印度的專業(yè)人才,涵蓋了從數(shù)字設(shè)計(jì)到電路
    的頭像 發(fā)表于 01-24 10:24 ?523次閱讀

    臺(tái)積電第一家日本工廠即將開(kāi)張:預(yù)生產(chǎn)28nm工藝芯片

    這座晶圓廠于2022年4月開(kāi)始新建,大樓主結(jié)構(gòu)已完工,辦公室部分區(qū)域也在今年8月啟用。將生產(chǎn)N28 28nm級(jí)工藝芯片,這是日本目前最先進(jìn)的半導(dǎo)體
    的頭像 發(fā)表于 01-03 15:53 ?964次閱讀

    今日看點(diǎn)丨消息稱英偉達(dá) RTX 50 顯卡采用臺(tái)積電 3nm 工藝;起亞稱不放棄中國(guó)市場(chǎng),正與百度研發(fā)車機(jī)系統(tǒng)

    ,英偉達(dá)當(dāng)前的 RTX 40 顯卡采用“TSMC 4N”工藝,沒(méi)有說(shuō)明具體是幾納米工藝,有報(bào)道稱是定制的 5nm 工藝。英偉達(dá)官方表示,在
    發(fā)表于 11-20 11:05 ?794次閱讀

    TSMC子公司(VIS)決定在新加坡建立最先進(jìn)的半導(dǎo)體制造工廠

    據(jù)知情人士透露,臺(tái)灣積體電路制造有限公司(TSMC)的子公司Vanguard International Semiconductor(VIS)即將決定在新加坡建立其最先進(jìn)的半導(dǎo)體制造工廠。臺(tái)積電持有
    的頭像 發(fā)表于 11-07 15:49 ?3090次閱讀

    全球首顆3nm電腦來(lái)了!蘋(píng)果Mac電腦正式進(jìn)入3nm時(shí)代

    前兩代M1和M2系列芯片均采用5nm制程工藝,而M3系列芯片的發(fā)布,標(biāo)志著蘋(píng)果Mac電腦正式進(jìn)入3nm時(shí)代。 3nm利用先進(jìn)的EUV(極紫外
    發(fā)表于 11-07 12:39 ?573次閱讀
    全球首顆3<b class='flag-5'>nm</b>電腦來(lái)了!蘋(píng)果Mac電腦正式進(jìn)入3<b class='flag-5'>nm</b>時(shí)代