0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

采用FPGA DIY開發(fā)板實現(xiàn)撥碼開關(guān)控制LED亮滅

英特爾 Altera視頻 ? 2018-06-20 14:15 ? 次閱讀
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600505
  • led
    led
    +關(guān)注

    關(guān)注

    240

    文章

    22991

    瀏覽量

    654640
  • Altera
    +關(guān)注

    關(guān)注

    37

    文章

    774

    瀏覽量

    153770
  • DIY
    DIY
    +關(guān)注

    關(guān)注

    176

    文章

    885

    瀏覽量

    347837
  • 撥碼開關(guān)
    +關(guān)注

    關(guān)注

    0

    文章

    41

    瀏覽量

    11032
收藏 人收藏

    評論

    相關(guān)推薦

    勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載21:蜂鳴器、數(shù)碼管、流水燈、開關(guān)電路

    FPGA與蜂鳴器、流水燈、數(shù)碼管、開關(guān)連接如圖2.24所示。蜂鳴器單個引腳控制高點電平驅(qū)動即可;8個
    發(fā)表于 11-17 19:12

    FPGA DIY開關(guān)實驗源碼下載

    FPGA_DIY開關(guān)實驗源碼
    發(fā)表于 10-08 15:01 ?63次下載

    LED和亮度控制

    LED和亮度控制
    發(fā)表于 03-28 14:24 ?42次下載

    FPGA DIY開發(fā)板開關(guān)過程

    haohaolinux 的開關(guān)視頻。
    的頭像 發(fā)表于 06-20 02:59 ?3435次閱讀

    利用FPGA DIY開發(fā)板實現(xiàn)開關(guān)控制靜態(tài)數(shù)碼管顯示

    FPGA diy作業(yè)實現(xiàn)開關(guān)控制顯示數(shù)碼管0到8
    的頭像 發(fā)表于 06-20 14:07 ?3846次閱讀

    通過FPGA DIY開發(fā)板實現(xiàn)開關(guān)控制數(shù)碼管靜態(tài)顯示

    開關(guān)控制數(shù)碼管的顯示
    的頭像 發(fā)表于 06-20 09:26 ?3576次閱讀
    通過<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實現(xiàn)</b><b class='flag-5'>撥</b><b class='flag-5'>碼</b><b class='flag-5'>開關(guān)</b><b class='flag-5'>控制</b>數(shù)碼管靜態(tài)顯示

    采用FPGA DIY實現(xiàn)開關(guān)控制花樣燈顯示

    FPGA diy作業(yè)實現(xiàn)8位LED花樣燈加撥開關(guān)控制
    的頭像 發(fā)表于 06-20 14:08 ?4191次閱讀
    <b class='flag-5'>采用</b><b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>實現(xiàn)</b><b class='flag-5'>撥</b><b class='flag-5'>碼</b><b class='flag-5'>開關(guān)</b><b class='flag-5'>控制</b>花樣燈顯示

    利用FPGA DIY開發(fā)板實現(xiàn)按鍵控制LED的顯示

    asean的 FPGA DIY 按鍵控制LED顯示的視頻
    的頭像 發(fā)表于 06-20 14:06 ?7046次閱讀
    利用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實現(xiàn)</b>按鍵<b class='flag-5'>控制</b><b class='flag-5'>LED</b>的顯示

    采用FPGA DIY 開發(fā)板實現(xiàn)8個流水燈向左移功能

    FPGA diy作業(yè)實現(xiàn)8位LED輸出向左的流水燈。
    的頭像 發(fā)表于 06-20 08:26 ?5382次閱讀
    <b class='flag-5'>采用</b><b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實現(xiàn)</b>8個流水燈向左移功能

    采用FPGA DIY開發(fā)板實現(xiàn)開關(guān)控制數(shù)碼管顯示

    asean的 FPGA DIY 開關(guān)控制數(shù)碼管顯示的視頻
    的頭像 發(fā)表于 06-20 04:36 ?4803次閱讀
    <b class='flag-5'>采用</b><b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實現(xiàn)</b><b class='flag-5'>撥</b><b class='flag-5'>碼</b><b class='flag-5'>開關(guān)</b><b class='flag-5'>控制</b>數(shù)碼管顯示

    利用 FPGA DIY 開發(fā)板實現(xiàn)開關(guān)控制數(shù)碼管顯示

    利用開關(guān)控制8個數(shù)碼管的顯示,撥動SW1,顯示1; 關(guān)閉SW1,打開SW2,顯示2...依此類推,撥動SW8,顯示8。
    的頭像 發(fā)表于 06-20 14:10 ?7263次閱讀
    利用 <b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實現(xiàn)</b><b class='flag-5'>撥</b><b class='flag-5'>碼</b><b class='flag-5'>開關(guān)</b><b class='flag-5'>控制</b>數(shù)碼管顯示

    通過 FPGA DIY開發(fā)板實現(xiàn)LED閃爍功能

    asean的 FPGA DIY LED閃爍視頻
    的頭像 發(fā)表于 06-20 01:33 ?6245次閱讀
    通過 <b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實現(xiàn)</b><b class='flag-5'>LED</b>閃爍功能

    FPGA入門系列實驗教程之按鍵控制LED的詳細(xì)資料說明

    實現(xiàn)按鍵控制LED。通過這個實驗,掌握采用Verilog HDL語言編程
    發(fā)表于 06-11 16:50 ?31次下載
    <b class='flag-5'>FPGA</b>入門系列實驗教程之按鍵<b class='flag-5'>控制</b><b class='flag-5'>LED</b><b class='flag-5'>亮</b><b class='flag-5'>滅</b>的詳細(xì)資料說明

    FPGA入門系列實驗教程之如何進(jìn)行按鍵消抖控制LED

    實現(xiàn)按鍵控制 LED 。通過這個實驗,掌握采用 Verilog HDL 語言編程
    發(fā)表于 06-11 16:50 ?13次下載
    <b class='flag-5'>FPGA</b>入門系列實驗教程之如何進(jìn)行按鍵消抖<b class='flag-5'>控制</b><b class='flag-5'>LED</b><b class='flag-5'>亮</b><b class='flag-5'>滅</b>

    開關(guān)控制LED的程序和仿真電路免費下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是開關(guān)控制LED的程序和仿真電路免費下載。
    發(fā)表于 02-26 15:44 ?11次下載