0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

通過 FPGA DIY開發(fā)板實(shí)現(xiàn)LED閃爍功能

英特爾 Altera視頻 ? 2018-06-20 01:33 ? 次閱讀
聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600505
  • led
    led
    +關(guān)注

    關(guān)注

    240

    文章

    22991

    瀏覽量

    654641
  • Altera
    +關(guān)注

    關(guān)注

    37

    文章

    774

    瀏覽量

    153770
  • DIY
    DIY
    +關(guān)注

    關(guān)注

    176

    文章

    885

    瀏覽量

    347837
  • 開發(fā)板
    +關(guān)注

    關(guān)注

    25

    文章

    4838

    瀏覽量

    96848
收藏 人收藏

    評論

    相關(guān)推薦

    【Runber FPGA開發(fā)板】配套視頻教程——LED閃爍實(shí)驗

    本視頻是Runber FPGA開發(fā)板的配套視頻課程,主要通過LED閃爍實(shí)驗來介紹如何利用計數(shù)器按一定的時鐘頻率進(jìn)行計時,
    發(fā)表于 04-12 18:17

    采用FPGA DIY開發(fā)板實(shí)現(xiàn)撥碼開關(guān)控制LED亮滅

    FPGA diy實(shí)現(xiàn)八位撥碼開關(guān)控制8位LED輸出
    的頭像 發(fā)表于 06-20 14:15 ?8804次閱讀

    采用FPGA DIY開發(fā)板實(shí)現(xiàn)8個跑馬燈向左移功能

    FPGA diy作業(yè)實(shí)現(xiàn)8位LED輸出向左的跑馬燈
    的頭像 發(fā)表于 06-20 09:15 ?3674次閱讀
    采用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b>8個跑馬燈向左移<b class='flag-5'>功能</b>

    利用FPGA DIY開發(fā)板實(shí)現(xiàn)按鍵控制LED的顯示

    asean的 FPGA DIY 按鍵控制LED顯示的視頻
    的頭像 發(fā)表于 06-20 14:06 ?7046次閱讀
    利用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b>按鍵控制<b class='flag-5'>LED</b>的顯示

    采用FPGA DIY 開發(fā)板實(shí)現(xiàn)8個流水燈向左移功能

    FPGA diy作業(yè)實(shí)現(xiàn)8位LED輸出向左的流水燈。
    的頭像 發(fā)表于 06-20 08:26 ?5382次閱讀
    采用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b>8個流水燈向左移<b class='flag-5'>功能</b>

    采用FPGA DIY開發(fā)板實(shí)現(xiàn)花樣燈顯示

    asean的 FPGA DIY 花樣燈的視頻
    的頭像 發(fā)表于 06-20 10:26 ?3136次閱讀
    采用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b>花樣燈顯示

    采用FPGA_DIY開發(fā)板實(shí)現(xiàn)LED多樣顯示功能

    LED燈實(shí)驗,實(shí)現(xiàn)LED的三種顯示模式.LED閃爍LED跑馬燈
    的頭像 發(fā)表于 06-20 14:07 ?4789次閱讀

    通過 FPGA DIY 開發(fā)板實(shí)現(xiàn)花樣彩燈功能

    該視頻實(shí)現(xiàn)花樣彩燈功能,包含點(diǎn)亮LED 、從左到右,從右到左,中間往兩邊,兩邊往中間的流水燈;左到右,右到左的跑馬燈。每個功能之間,LED
    的頭像 發(fā)表于 06-20 04:07 ?4035次閱讀
    <b class='flag-5'>通過</b> <b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b> <b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b>花樣彩燈<b class='flag-5'>功能</b>

    采用FPGA DIY開發(fā)板實(shí)現(xiàn)閃爍功能

    xianglin1006 的 FPGA DIY 閃爍燈視頻
    的頭像 發(fā)表于 06-20 06:27 ?4250次閱讀
    采用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b><b class='flag-5'>閃爍</b>燈<b class='flag-5'>功能</b>

    利用FPGA DIY開發(fā)板實(shí)現(xiàn)LED閃爍、跑馬、流水等各功能

    一首永無止境的歌伴你一起欣賞花樣LED--FPGA
    的頭像 發(fā)表于 06-20 03:27 ?6343次閱讀
    利用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b><b class='flag-5'>LED</b><b class='flag-5'>閃爍</b>、跑馬、流水等各<b class='flag-5'>功能</b>

    采用FPGA DIY開發(fā)板實(shí)現(xiàn)11個LED閃爍功能

    閃爍燈實(shí)驗:完成了11個(非8個)LED燈的閃爍。
    的頭像 發(fā)表于 06-20 05:02 ?5579次閱讀
    采用<b class='flag-5'>FPGA</b> <b class='flag-5'>DIY</b><b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b>11個<b class='flag-5'>LED</b><b class='flag-5'>閃爍</b><b class='flag-5'>功能</b>

    通過 FPGA-DIY 開發(fā)板實(shí)現(xiàn) LED 跑馬燈

    gymdove 的FPGA-DIY LED 跑馬燈視頻。
    的頭像 發(fā)表于 06-20 03:29 ?6788次閱讀
    <b class='flag-5'>通過</b> <b class='flag-5'>FPGA-DIY</b> <b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b> <b class='flag-5'>LED</b> 跑馬燈

    通過 FPGA-DIY開發(fā)板實(shí)現(xiàn) LED 閃爍

    gymdove 的 FPGA-DIY LED 閃爍燈視頻。
    的頭像 發(fā)表于 06-20 01:29 ?6805次閱讀
    <b class='flag-5'>通過</b> <b class='flag-5'>FPGA-DIY</b><b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b> <b class='flag-5'>LED</b> <b class='flag-5'>閃爍</b>燈

    FPGA視頻教程之實(shí)現(xiàn)DIY數(shù)碼相框的實(shí)驗資料說明

    本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA視頻教程之使用SF-EP1C開發(fā)板實(shí)現(xiàn)DIY數(shù)碼相框的實(shí)驗資料說明。
    發(fā)表于 03-06 14:02 ?4次下載
    <b class='flag-5'>FPGA</b>視頻教程之<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>DIY</b>數(shù)碼相框的實(shí)驗資料說明

    使用單片機(jī)開發(fā)板實(shí)現(xiàn)LED流水和定時器的程序免費(fèi)下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)開發(fā)板實(shí)現(xiàn)LED流水和定時器的程序免費(fèi)下載。
    發(fā)表于 06-10 17:15 ?2次下載
    使用單片機(jī)<b class='flag-5'>開發(fā)板實(shí)現(xiàn)</b><b class='flag-5'>LED</b>流水和定時器的程序免費(fèi)下載