0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

半導體一些英文術語你了解嗎?半導體一些術語的中英文對照

kus1_iawbs2016 ? 來源:未知 ? 作者:易水寒 ? 2018-07-15 11:23 ? 次閱讀

半導體產業(yè)作為一個起源于國外的技術,很多相關的技術術語都是用英文表述。且由于很多從業(yè)者都有海外經歷,或者他們習慣于用英文表述相關的工藝和技術節(jié)點,那就導致很多的英文術語被翻譯為中文之后,很多人不能對照得上,或者不知道怎么翻譯。在這里我們整理一些常用的半導體術語的中英文版本,希望對大家有所幫助。如果當中有出錯,請幫忙糾正,謝謝!

常用半導體中英對照表

離子注入機 ion implanter

LSS理論 Lindhand Scharff and Schiott theory,又稱“林漢德-斯卡夫-斯高特理論”。

溝道效應 channeling effect

射程分布 range distribution

深度分布 depth distribution

投影射程 projected range

阻止距離 stopping distance

阻止本領 stopping power

標準阻止截面 standard stopping cross section

退火 annealing

激活能 activation energy

等溫退火 isothermal annealing

激光退火 laser annealing

應力感生缺陷 stress-induced defect

擇優(yōu)取向 preferred orientation

制版工藝 mask-making technology

圖形畸變 pattern distortion

初縮 first minification

精縮 final minification

母版 master mask

鉻版 chromium plate

干版 dry plate

乳膠版 emulsion plate

透明版 see-through plate

高分辨率版 high resolution plate, HRP

超微粒干版 plate for ultra-microminiaturization

掩模 mask

掩模對準 mask alignment

對準精度 alignment precision

光刻膠 photoresist,又稱“光致抗蝕劑”。

負性光刻膠 negative photoresist

正性光刻膠 positive photoresist

無機光刻膠 inorganic resist

多層光刻膠 multilevel resist

電子束光刻膠 electron beam resist

X射線光刻膠 X-ray resist

刷洗 scrubbing

甩膠 spinning

涂膠 photoresist coating

后烘 postbaking

光刻 photolithography

X射線光刻 X-ray lithography

電子束光刻 electron beam lithography

離子束光刻 ion beam lithography

深紫外光刻 deep-UV lithography

*** mask aligner

投影*** projection mask aligner

曝光 exposure

接觸式曝光法 contact exposure method

接近式曝光法 proximity exposure method

光學投影曝光法 optical projection exposure method

電子束曝光系統(tǒng) electron beam exposure system

分步重復系統(tǒng) step-and-repeat system

顯影 development

線寬 linewidth

去膠 stripping of photoresist

氧化去膠 removing of photoresist by oxidation

等離子[體]去膠 removing of photoresist by plasma

刻蝕 etching

干法刻蝕 dry etching

反應離子刻蝕 reactive ion etching, RIE

各向同性刻蝕 isotropic etching

各向異性刻蝕 anisotropic etching

反應濺射刻蝕 reactive sputter etching

離子銑 ion beam milling,又稱“離子磨削”。

等離子[體]刻蝕 plasma etching

鉆蝕 undercutting

剝離技術 lift-off technology,又稱“浮脫工藝”。

終點監(jiān)測 endpoint monitoring

金屬化 metallization

互連 interconnection

多層金屬化 multilevel metallization

電遷徙 electromigration

回流 reflow

磷硅玻璃 phosphorosilicate glass

硼磷硅玻璃 boron-phosphorosilicate glass

鈍化工藝 passivation technology

多層介質鈍化 multilayer dielectric passivation

劃片 scribing

電子束切片 electron beam slicing

燒結 sintering

印壓 indentation

熱壓焊 thermocompression bonding

熱超聲焊 thermosonic bonding

冷焊 cold welding

點焊 spot welding

球焊 ball bonding

楔焊 wedge bonding

內引線焊接 inner lead bonding

外引線焊接 outer lead bonding

梁式引線 beam lead

裝架工藝 mounting technology

附著 adhesion

封裝 packaging

金屬封裝 metallic packaging

陶瓷封裝 ceramic packaging

扁平封裝 flat packaging

塑封 plastic package

玻璃封裝 glass packaging

微封裝 micropackaging,又稱“微組裝”。

管殼 package

管芯 die

引線鍵合 lead bonding

引線框式鍵合 lead frame bonding

帶式自動鍵合 tape automated bonding, TAB

激光鍵合 laser bonding

超聲鍵合 ultrasonic bonding

紅外鍵合 infrared bonding

微電子辭典大集合

(按首字母順序排序)

A

Abrupt junction 突變結Accelerated testing 加速實驗Acceptor 受主Acceptor atom 受主原子Accumulation 積累、堆積Accumulating contact 積累接觸

Accumulation region 積累區(qū)Accumulation layer 積累層Active region 有源區(qū)Active component 有源元Active device 有源器件Activation 激活

Activation energy 激活能Active region 有源(放大)區(qū)Admittance 導納Allowed band 允帶Alloy-junction device合金結器件

Aluminum(Aluminium) 鋁

Aluminum – oxide 鋁氧化物Aluminum passivation 鋁鈍化Ambipolar 雙極的Ambient temperature 環(huán)境溫度Amorphous 無定形的,非晶體的Amplifier 功放 擴音器 放大器Analogue(Analog) comparator 模擬比較器

Angstrom 埃

Anneal 退火Anisotropic 各向異性的Anode 陽極Arsenic (AS) 砷Auger 俄歇Auger process 俄歇過程Avalanche 雪崩Avalanche breakdown 雪崩擊穿Avalanche excitation雪崩激發(fā)

B

Background carrier 本底載流子Background doping 本底摻雜Backward 反向Backward bias 反向偏置Ballasting resistor 整流電阻

Ball bond 球形鍵合Band 能帶Band gap 能帶間隙Barrier 勢壘Barrier layer 勢壘層Barrier width 勢壘寬度

Base 基極Base contact 基區(qū)接觸Base stretching 基區(qū)擴展效應Base transit time 基區(qū)渡越時間Base transport efficiency基區(qū)輸運系數(shù)Base-width modulation基區(qū)寬度調制

Basis vector 基矢Bias 偏置Bilateral switch 雙向開關Binary code 二進制代碼Binary compound semiconductor 二元化合物半導體Bipolar 雙極性的Bipolar Junction Transistor (BJT)雙極晶體管

Bloch 布洛赫Blocking band 阻擋能帶Blocking contact 阻擋接觸Body - centered 體心立方Body-centred cubic structure 體立心結構

Boltzmann 波爾茲曼Bond 鍵、鍵合Bonding electron 價電子Bonding pad 鍵合點Bootstrap circuit 自舉電路

Bootstrapped emitter follower 自舉射極跟隨器

Boron 硼B(yǎng)orosilicate glass 硼硅玻璃Boundary condition 邊界條件Bound electron 束縛電子Breadboard 模擬板、實驗板Break down 擊穿Break over 轉折Brillouin 布里淵Brillouin zone 布里淵區(qū)Built-in 內建的Build-in electric field 內建電場

Bulk 體/體內

Bulk absorption 體吸收

Bulk generation 體產生Bulk recombination 體復合Burn - in 老化Burn out 燒毀Buried channel 埋溝Buried diffusion region 隱埋擴散區(qū)

C

Can 外殼Capacitance 電容Capture cross section 俘獲截面Capture carrier 俘獲載流子Carrier 載流子、載波Carry bit 進位位Carry-in bit 進位輸入Carry-out bit 進位輸出

Cascade 級聯(lián)Case 管殼Cathode 陰極Center 中心Ceramic 陶瓷(的)Channel 溝道

Channel breakdown 溝道擊穿

Channel current 溝道電流Channel doping 溝道摻雜Channel shortening 溝道縮短Channel width 溝道寬度Characteristic impedance 特征阻抗Charge 電荷、充電Charge-compensation effects 電荷補償效應

Charge conservation 電荷守恒Charge neutrality condition 電中性條件Charge drive/exchange/sharing/transfer/storage 電荷驅動/交換/共享/轉移/存儲Chemmical etching 化學腐蝕法Chemically-Polish 化學拋光Chemmically-Mechanically Polish (CMP) 化學機械拋光

Chip 芯片Chip yield 芯片成品率Clamped 箝位Clamping diode 箝位二極管Cleavage plane 解理面Clock rate 時鐘頻率Clock generator 時鐘發(fā)生器Clock flip-flop 時鐘觸發(fā)器Close-packed structure 密堆積結構

Close-loop gain 閉環(huán)增益Collector 集電極Collision 碰撞Compensated OP-AMP 補償運放Common-base/collector/emitter connection 共基極/集電極/發(fā)射極連接Common-gate/drain/source connection 共柵/漏/源連接

Common-mode gain 共模增益Common-mode input 共模輸入Common-mode rejection ratio (CMRR) 共模抑制比

Compatibility 兼容性Compensation 補償Compensated impurities 補償雜質

Compensated semiconductor 補償半導體Complementary Darlington circuit 互補達林頓電路Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)互補金屬氧化物半導體場效應晶體管

Complementary error function 余誤差函數(shù)Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 計算機輔助設計/ 測試 /制造Compound Semiconductor 化合物半導體

Conductance 電導Conduction band (edge) 導帶(底)Conduction level/state 導帶態(tài)Conductor 導體Conductivity 電導率Configuration 組態(tài)Conlomb 庫侖Conpled Configuration Devices 結構組態(tài)

Constants 物理常數(shù)Constant energy surface 等能面Constant-source diffusion恒定源擴散Contact 接觸Contamination 治污Continuity equation 連續(xù)性方程

Contact hole 接觸孔Contact potential 接觸電勢Continuity condition 連續(xù)性條件Contra doping 反摻雜Controlled 受控的Converter 轉換器Conveyer 傳輸器Copper interconnection system 銅互連系統(tǒng)

Couping 耦合Covalent 共階的Crossover 跨交Critical 臨界的Crossunder 穿交Crucible坩堝Crystal defect/face/orientation/lattice 晶體缺陷/晶面/晶向/晶格

Current density 電流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 電流漂移/驅動/共享Current Sense 電流取樣Curvature 彎曲Custom integrated circuit 定制集成電路Cylindrical 柱面的Czochralshicrystal 直立單晶Czochralski technique 切克勞斯基技術(Cz法直拉晶體J)

D

Dangling bonds 懸掛鍵Dark current 暗電流Dead time 空載時間Debye length 德拜長度De.broglie 德布洛意Decderate 減速Decibel (dB) 分貝Decode 譯碼

Deep acceptor level 深受主能級Deep donor level 深施主能級Deep impurity level 深度雜質能級Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 簡并半導體

Degeneracy 簡并度Degradation 退化Degree Celsius(centigrade) /Kelvin 攝氏/開氏溫度

Delay 延遲 Density 密度Density of states 態(tài)密度Depletion 耗盡Depletion approximation 耗盡近似

Depletion contact 耗盡接觸Depletion depth 耗盡深度Depletion effect 耗盡效應Depletion layer 耗盡層Depletion MOS 耗盡MOSDepletion region 耗盡區(qū)Deposited film 淀積薄膜Deposition process 淀積工藝Design rules 設計規(guī)則Die 芯片(復數(shù)dice)

Diode 二極管Dielectric 介電的Dielectric isolation 介質隔離Difference-mode input 差模輸入Differential amplifier 差分放大器Differential capacitance 微分電容

Diffused junction 擴散結Diffusion 擴散Diffusion coefficient 擴散系數(shù)Diffusion constant 擴散常數(shù)Diffusivity 擴散率Diffusion capacitance/barrier/current/furnace 擴散電容/勢壘/電流/爐

Digital circuit 數(shù)字電路Dipole domain 偶極疇Dipole layer 偶極層Direct-coupling 直接耦合Direct-gap semiconductor 直接帶隙半導體

Direct transition 直接躍遷Discharge 放電Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布電容Distributed model 分布模型Displacement 位移

Dislocation 位錯

Domain 疇 Donor 施主Donor exhaustion 施主耗盡Dopant 摻雜劑Doped semiconductor 摻雜半導體Doping concentration 摻雜濃度Double-diffusive MOS(DMOS)雙擴散MOS.

Drift 漂移

Drift field 漂移電場Drift mobility 遷移率Dry etching 干法腐蝕Dry/wet oxidation 干/濕法氧化Dose 劑量Duty cycle 工作周期Dual-in-line package (DIP) 雙列直插式封裝

Dynamics 動態(tài)Dynamic characteristics 動態(tài)屬性Dynamic impedance 動態(tài)阻抗

E

Early effect 厄利效應Early failure 早期失效Effective mass 有效質量Einstein relation(ship) 愛因斯坦關系Electric Erase Programmable Read Only Memory(E2PROM) 一次性電可擦除只讀存儲器

Electrode 電極Electrominggratim 電遷移Electron affinity 電子親和勢Electronic -grade 電子能Electron-beam photo-resist exposure 光致抗蝕劑的電子束曝光

Electron gas 電子氣Electron-grade water 電子級純水Electron trapping center 電子俘獲中心Electron Volt (eV) 電子伏Electrostatic 靜電的Element 元素/元件/配件Elemental semiconductor 元素半導體

Ellipse 橢圓Ellipsoid 橢球Emitter 發(fā)射極Emitter-coupled logic 發(fā)射極耦合邏輯Emitter-coupled pair 發(fā)射極耦合對Emitter follower 射隨器Empty band 空帶Emitter crowding effect 發(fā)射極集邊(擁擠)效應Endurance test =life test 壽命測試

Energy state 能態(tài)Energy momentum diagram 能量-動量(E-K)圖Enhancement mode 增強型模式Enhancement MOS 增強性MOS Entefic (低)共溶的Environmental test 環(huán)境測試

Epitaxial 外延的Epitaxial layer 外延層Epitaxial slice 外延片Expitaxy 外延Equivalent curcuit 等效電路

Equilibrium majority /minority carriers 平衡多數(shù)/少數(shù)載流子Erasable Programmable ROM (EPROM)可搽?。?a target="_blank">編程)存儲器Error function complement 余誤差函數(shù)

Etch 刻蝕Etchant 刻蝕劑Etching mask 抗蝕劑掩模Excess carrier 過剩載流子Excitation energy 激發(fā)能Excited state 激發(fā)態(tài)Exciton 激子Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 雜質半導體

F

Face - centered 面心立方Fall time 下降時間Fan-in 扇入Fan-out 扇出Fast recovery 快恢復Fast surface states 快界面態(tài)Feedback 反饋Fermi level 費米能級Fermi-Dirac Distribution 費米-狄拉克分布

Femi potential 費米勢Fick equation 菲克方程(擴散)Field effect transistor 場效應晶體管Field oxide 場氧化層Filled band 滿帶

Film 薄膜Flash memory 閃爍存儲器Flat band 平帶Flat pack 扁平封裝Flicker noise 閃爍(變)噪聲Flip-flop toggle 觸發(fā)器翻轉

Floating gate 浮柵Fluoride etch 氟化氫刻蝕Forbidden band 禁帶Forward bias 正向偏置

Forward blocking /conducting正向阻斷/導通

Frequency deviation noise頻率漂移噪聲Frequency response 頻率響應Function 函數(shù)

G

Gain 增益

Gallium-Arsenide(GaAs) 砷化鉀Gamy ray r 射線Gate 門、柵、控制極Gate oxide 柵氧化層Gauss(ian) 高斯Gaussian distribution profile 高斯摻雜分布Generation-recombination 產生-復合

Geometries 幾何尺寸Germanium(Ge) 鍺Graded 緩變的Graded (gradual) channel 緩變溝道

Graded junction 緩變結Grain 晶粒Gradient 梯度Grown junction 生長結Guard ring 保護環(huán)Gummel-Poom model 葛謀-潘 模型Gunn - effect 狄氏效應

H

Hardened device 輻射加固器件

Heat of formation 形成熱Heat sink 散熱器、熱沉Heavy/light hole band 重/輕 空穴帶

Heavy saturation 重摻雜Hell - effect 霍爾效應Heterojunction 異質結Heterojunction structure 異質結結構Heterojunction Bipolar Transistor(HBT)異質結雙極型晶體

High field property 高場特性High-performance MOS.( H-MOS)高性能MOS. Hormalized 歸一化Horizontal epitaxial reactor 臥式外延反應器

Hot carrior 熱載流子Hybrid integration 混合集成

I

Image - force 鏡象力Impact ionization 碰撞電離Impedance 阻抗Imperfect structure 不完整結構Implantation dose 注入劑量Implanted ion 注入離子

Impurity 雜質Impurity scattering 雜志散射Incremental resistance 電阻增量(微分電阻)

In-contact mask 接觸式掩模Indium tin oxide (ITO) 銦錫氧化物Induced channel 感應溝道

Infrared 紅外的Injection 注入Input offset voltage 輸入失調電壓Insulator 絕緣體Insulated Gate FET(IGFET)絕緣柵FET

Integrated injection logic集成注入邏輯

Integration 集成、積分Interconnection 互連Interconnection time delay 互連延時Interdigitated structure 交互式結構

Interface 界面Interference 干涉International system of unions國際單位制

Internally scattering 谷間散射Interpolation 內插法Intrinsic 本征的Intrinsic semiconductor 本征半導體Inverse operation 反向工作

Inversion 反型Inverter 倒相器Ion 離子Ion beam 離子束Ion etching 離子刻蝕Ion implantation 離子注入Ionization 電離Ionization energy 電離能Irradiation 輻照Isolation land 隔離島Isotropic 各向同性

J

Junction FET(JFET) 結型場效應管Junction isolation 結隔離Junction spacing 結間距Junction side-wall 結側壁

L

Latch up 閉鎖Lateral 橫向的Lattice 晶格Layout 版圖Lattice binding/cell/constant/defect/distortion 晶格結合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸變

Leakage current (泄)漏電流Level shifting 電平移動Life time 壽命linearity 線性度Linked bond 共價鍵Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生長技術

Lithography 光刻Light Emitting Diode(LED) 發(fā)光二極管Load line or Variable 負載線Locating and Wiring 布局布線Longitudinal 縱向的Logic swing 邏輯擺幅Lorentz 洛淪茲Lumped model 集總模型

M

Majority carrier 多數(shù)載流子Mask 掩膜板,光刻板Mask level 掩模序號Mask set 掩模組Mass - action law質量守恒定律Master-slave D flip-flop主從D觸發(fā)器

Matching 匹配Maxwell 麥克斯韋Mean free path 平均自由程Meandered emitter junction梳狀發(fā)射極結Mean time before failure (MTBF) 平均工作時間

Megeto - resistance 磁阻Mesa 臺面MESFET-Metal Semiconductor金屬半導體FETMetallization 金屬化Microelectronic technique 微電子技術Microelectronics 微電子學Millen indices 密勒指數(shù)Minority carrier 少數(shù)載流子

Misfit 失配Mismatching 失配Mobile ions 可動離子Mobility 遷移率Module 模塊Modulate 調制Molecular crystal分子晶體Monolithic IC 單片IC

MOSFET金屬氧化物半導體場效應晶體管

Mos. Transistor(MOST )MOS. 晶體管Multiplication 倍增Modulator 調制Multi-chip IC 多芯片ICMulti-chip module(MCM) 多芯片模塊Multiplication coefficient倍增因子

N

Naked chip 未封裝的芯片(裸片)Negative feedback 負反饋Negative resistance 負阻Nesting 套刻Negative-temperature-coefficient 負溫度系數(shù)Noise margin 噪聲容限Nonequilibrium 非平衡Nonrolatile 非揮發(fā)(易失)性Normally off/on 常閉/開Numerical analysis 數(shù)值分析

O

Occupied band 滿帶Officienay 功率Offset 偏移、失調On standby 待命狀態(tài)Ohmic contact 歐姆接觸Open circuit 開路Operating point 工作點Operating bias 工作偏置Operational amplifier (OPAMP)運算放大器

Optical photon =photon 光子Optical quenching光猝滅Optical transition 光躍遷Optical-coupled isolator光耦合隔離器Organic semiconductor有機半導體Orientation 晶向、定向

Outline 外形Out-of-contact mask非接觸式掩模Output characteristic 輸出特性Output voltage swing 輸出電壓擺幅Overcompensation 過補償Over-current protection 過流保護Over shoot 過沖Over-voltage protection 過壓保護

Overlap 交迭Overload 過載Oscillator 振蕩器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化層鈍化

P

Package 封裝Pad 壓焊點Parameter 參數(shù)Parasitic effect 寄生效應Parasitic oscillation 寄生振蕩Passination 鈍化Passive component 無源元件

Passive device 無源器件Passive surface 鈍化界面Parasitic transistor 寄生晶體管Peak-point voltage 峰點電壓Peak voltage 峰值電壓Permanent-storage circuit 永久存儲電路

Period 周期Periodic table 周期表Permeable - base 可滲透基區(qū)Phase-lock loop 鎖相環(huán)Phase drift 相移Phonon spectra 聲子譜Photo conduction 光電

Photo diode 光電二極管Photoelectric cell 光電池Photoelectric effect 光電效應Photoenic devices 光子器件Photolithographic process 光刻工藝(photo) resist (光敏)抗腐蝕劑

Pin 管腳Pinch off 夾斷Pinning of Fermi level 費米能級的釘扎(效應)Planar process 平面工藝Planar transistor 平面晶體管

Plasma 等離子體Plezoelectric effect 壓電效應Poisson equation 泊松方程Point contact 點接觸Polarity 極性Polycrystal 多晶Polymer semiconductor聚合物半導體

Poly-silicon 多晶硅Potential (電)勢Potential barrier 勢壘Potential well 勢阱Power dissipation 功耗Power transistor 功率晶體管Preamplifier 前置放大器Primary flat 主平面Principal axes 主軸Print-circuit board(PCB) 印制電路板

Probability 幾率Probe 探針Process 工藝Propagation delay 傳輸延時Pseudopotential method 膺勢發(fā)

Punch through 穿通Pulse triggering/modulating 脈沖觸發(fā)/調制

Pulse Widen Modulator(PWM) 脈沖寬度調制

Punchthrough 穿通Push-pull stage 推挽級

Q

Quality factor 品質因子Quantization 量子化Quantum 量子Quantum efficiency量子效應Quantum mechanics 量子力學Quasi – Fermi-level準費米能級Quartz 石英

R

Radiation conductivity 輻射電導率

Radiation damage 輻射損傷Radiation flux density 輻射通量密度Radiation hardening 輻射加固Radiation protection 輻射保護Radiative - recombination輻照復合

Radioactive 放射性Reach through 穿通Reactive sputtering source 反應濺射源

Read diode 里德二極管Recombination 復合Recovery diode 恢復二極管Reciprocal lattice 倒核子Recovery time 恢復時間Rectifier 整流器(管)Rectifying contact 整流接觸Reference 基準點 基準 參考點Refractive index 折射率

Register 寄存器Registration 對準Regulate 控制 調整Relaxation lifetime 馳豫時間

Reliability 可靠性Resonance 諧振Resistance 電阻Resistor 電阻器Resistivity 電阻率Regulator 穩(wěn)壓管(器)

Relaxation 馳豫Resonant frequency共射頻率Response time 響應時間Reverse 反向的Reverse bias 反向偏置

S

Sampling circuit 取樣電路Sapphire 藍寶石(Al2O3)Satellite valley 衛(wèi)星谷Saturated current range電流飽和區(qū)

Saturation region 飽和區(qū)Saturation 飽和的Scaled down 按比例縮小Scattering 散射Schockley diode 肖克萊二極管Schottky 肖特基Schottky barrier 肖特基勢壘Schottky contact 肖特基接觸

Schrodingen 薛定厄Scribing grid 劃片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 選擇性Self aligned 自對準的Self diffusion 自擴散Semiconductor 半導體Semiconductor-controlled rectifier 可控硅

Sendsitivity 靈敏度Serial 串行/串聯(lián)Series inductance 串聯(lián)電感Settle time 建立時間Sheet resistance 薄層電阻Shield 屏蔽Short circuit 短路Shot noise 散粒噪聲

Shunt 分流Sidewall capacitance邊墻電容

Signal 信號Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 絕緣硅

Siliver whiskers 銀須Simple cubic 簡立方Single crystal 單晶Sink 沉Skin effect 趨膚效應Snap time 急變時間Sneak path 潛行通路Sulethreshold 亞閾的Solar battery/cell 太陽能電池

Solid circuit 固體電路Solid Solubility 固溶度Sonband 子帶Source 源極Source follower 源隨器Space charge 空間電荷Specific heat(PT) 熱Speed-power product 速度功耗乘積

Spherical 球面的

Spin 自旋 Split 分裂Spontaneous emission 自發(fā)發(fā)射Spreading resistance擴展電阻Sputter 濺射

Stacking fault 層錯Static characteristic 靜態(tài)特性Stimulated emission 受激發(fā)射Stimulated recombination 受激復合Storage time 存儲時間

Stress 應力Straggle 偏差Sublimation 升華Substrate 襯底Substitutional 替位式的Superlattice 超晶格Supply 電源

Surface 表面Surge capacity 浪涌能力Subscript 下標Switching time 開關時間Switch 開關

T

Tailing 擴展Terminal 終端Tensor 張量 Tensorial 張量的Thermal activation 熱激發(fā)Thermal conductivity 熱導率Thermal equilibrium 熱平衡Thermal Oxidation 熱氧化Thermal resistance 熱阻

Thermal sink 熱沉Thermal velocity 熱運動Thermoelectricpovoer 溫差電動勢率Thick-film technique 厚膜技術Thin-film hybrid IC薄膜混合集成電路Thin-Film Transistor(TFT) 薄膜晶體

Threshlod 閾值Thyistor 晶閘管Transconductance 跨導Transfer characteristic 轉移特性Transfer electron 轉移電子Transfer function 傳輸函數(shù)

Transient 瞬態(tài)的Transistor aging(stress) 晶體管老化Transit time 渡越時間

Transition 躍遷Transition-metal silica 過度金屬硅化物Transition probability 躍遷幾率Transition region 過渡區(qū)Transport 輸運 Transverse 橫向的Trap 陷阱 Trapping 俘獲Trapped charge 陷阱電荷Triangle generator 三角波發(fā)生器

Triboelectricity 摩擦電Trigger 觸發(fā)Trim 調配 調整Triple diffusion 三重擴散Truth table 真值表Tolerahce 容差Tunnel(ing) 隧道(穿)Tunnel current 隧道電流Turn over 轉折Turn - off time 關斷時間

U

Ultraviolet 紫外的Unijunction 單結的Unipolar 單極的Unit cell 原(元)胞Unity-gain frequency 單位增益頻率Unilateral-switch單向開關

V

Vacancy 空位

Vacuum 真空Valence(value) band 價帶

Value band edge 價帶頂Valence bond 價鍵

Vapour phase 汽相Varactor 變容管

Varistor 變阻器Vibration 振動

Voltage 電壓

W

Wafer 晶片Wave equation 波動方程Wave guide 波導Wave number 波數(shù)Wave-particle duality 波粒二相性Wear-out 燒毀Wire routing 布線Work function 功函數(shù)Worst-case device 最壞情況器件

Y

Yield 成品率

Z

Zener breakdown 齊納擊穿Zone melting 區(qū)熔法

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 半導體
    +關注

    關注

    334

    文章

    26627

    瀏覽量

    212535
  • 工藝
    +關注

    關注

    4

    文章

    550

    瀏覽量

    28724
  • 技術術語
    +關注

    關注

    0

    文章

    3

    瀏覽量

    6612

原文標題:收藏,半導體一些術語的中英文對照,趕緊Get起來!

文章出處:【微信號:iawbs2016,微信公眾號:寬禁帶半導體技術創(chuàng)新聯(lián)盟】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    半導體術語

    一些關于半導體行業(yè)術語
    發(fā)表于 10-26 09:58

    SMT常用術語中英文對比

    SMT常用術語中英文對比
    發(fā)表于 08-06 11:25

    磁性材料術語中英文對照及計算定義公式

    磁性材料術語中英文對照及計算定義公式
    發(fā)表于 08-20 15:33

    收藏,半導體一些術語中英文對照,趕緊Get起來!

    得上,或者不知道怎么翻譯。在這里我們整理一些常用的半導體術語中英文版本,希望對大家有所幫助。如果當中有出錯,請幫忙糾正,謝謝!常用半導體
    發(fā)表于 06-29 09:39

    FPC常用術語中英文對照

    FPC常用術語中英文對照
    發(fā)表于 06-30 19:45 ?2470次閱讀

    電子術語中英文對照

    電子術語中英文對照:常見英文縮寫解釋(按字母順序排列):  ASIC: Application Specific Integrated Circuit
    發(fā)表于 06-10 01:02 ?1899次閱讀

    電磁兼容術語 (中英文對照表)

    電磁兼容術語 (中英文對照表) 1.電磁環(huán)境 electromagnetic environment 存在于給定場所的所有電磁現(xiàn)象的總和。 2.電磁噪聲 electromagnetic
    發(fā)表于 10-07 08:40 ?2911次閱讀

    線路板(PCB)流程術語中英文對照

    線路板(PCB)流程術語中英文對照 流程簡介:開料--鉆孔--干膜制程--壓合--減銅--電鍍--塞孔--防焊(綠漆/綠油)
    發(fā)表于 11-14 17:23 ?1.5w次閱讀

    FPC常用術語中英文對照

    FPC常用術語中英文對照 A Accelerate Aging ——加速老化,使用人工的方法,加速正常的老化過程。 Acceptance Quality Level (AQL) ——
    發(fā)表于 12-04 08:30 ?3315次閱讀

    線路板流程術語中英文對照

    線路板流程術語中英文對照流程簡介:開料--鉆孔--干膜制程--壓合--減銅--電鍍--塞孔--防焊(綠漆/綠油)     &
    發(fā)表于 02-21 11:04 ?1912次閱讀

    電磁兼容(EMC)術語縮寫中英文對照

    電磁兼容(EMC)術語縮寫中英文對照 a.c.or ACalternating current交流電ACEC advisory committee on electromagnetic compatibility電磁兼容顧問委
    發(fā)表于 02-21 11:15 ?6038次閱讀

    半導體一些術語中英文對照

    發(fā)表于 06-05 15:31 ?3次下載

    proteus中英文對照

    一些常用的proteus中英文對照,希望有幫助,內容不多不好意思。
    發(fā)表于 11-09 14:44 ?0次下載

    全球知名半導體企業(yè)了解多少?知名半導體企業(yè)中英文名稱對照

    全球知名半導體企業(yè)了解多少?知名半導體企業(yè)中英文名稱對照
    的頭像 發(fā)表于 10-03 19:18 ?9480次閱讀

    半導體行業(yè)術語的解讀

    在探討半導體業(yè)界的常用術語前,我們需了解半導體行業(yè)是科技領域中最為活躍且技術含量極高的行業(yè)之。它涉及到許多復雜的工藝和理論,因此產生了大量
    的頭像 發(fā)表于 12-02 11:18 ?4445次閱讀
    <b class='flag-5'>半導體</b>行業(yè)<b class='flag-5'>術語</b>的解讀