0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

探討國產(chǎn)半導體設備企業(yè)的新機遇

cMdW_icsmart ? 來源:未知 ? 作者:胡薇 ? 2018-08-21 16:02 ? 次閱讀

本文具備三大亮點:一是從半導體基礎制造工藝入手,系統(tǒng)解釋了26種半導體設備的應用環(huán)節(jié)及具體功能;二是在《2016年中國集成電路芯片制造業(yè)的狀況研究》一文的基礎上,統(tǒng)計了國內(nèi)截止到2018年7月的8英寸、12英寸硅片廠及晶圓廠投產(chǎn)計劃;三是系統(tǒng)測算了三大工藝環(huán)節(jié)的半導體細分設備的分年度市場空間。

半導體設備強者為王,國產(chǎn)企業(yè)實力仍然偏弱。受全球經(jīng)濟復蘇及中國大陸半導體產(chǎn)業(yè)快速跟進驅動,2017年全球半導體設備市場規(guī)模566.2億美元,較2016年大幅增長37.3%。從市場競爭格局來看,行業(yè)集中度高TOP4市占率>59%,TOP10市占率>73%;在光刻機,刻蝕機,CVD、PVD設備等核心設備中TOP3市占率分別為92.8%、90.5%、70%、96.2%。從國內(nèi)來看,雖然中國大陸是全球半導體設備第三大市場,但是2017年國產(chǎn)半導體集成電路設備國內(nèi)市占率僅為4%,國產(chǎn)半導體設備企業(yè)整體實力仍然偏弱。

三大因素齊發(fā)力,國產(chǎn)半導體設備迎發(fā)展良機。我們認為國產(chǎn)半導體設備正處于發(fā)展的機遇期,主要基于三點原因:①受汽車電子以及工業(yè)互聯(lián)網(wǎng)等新興領域的需求帶動,半導體行業(yè)發(fā)展有望持續(xù)復蘇;②國家政策持續(xù)加碼,國家集成電路產(chǎn)業(yè)投資基金第二期正在籌資,國產(chǎn)企業(yè)有望充分受益;③硅片廠和晶圓廠產(chǎn)能擴張疊加技術迭代,國產(chǎn)半導體設備企業(yè)有望在8英寸半導體設備實現(xiàn)突圍,縮短與外企的差距。

2018-19年國內(nèi)半導體設備年均市場規(guī)模接近2000億。從晶圓制造設備來看,國內(nèi)半導體硅片供需缺口明顯,目前8英寸硅片產(chǎn)能對應缺口為161.5萬片/月,12英寸硅片產(chǎn)能對應缺口為277.3萬片/月。我們基于當前硅片廠投產(chǎn)計劃測算國內(nèi)晶圓制造設備2018-2020年市場規(guī)模分別為153、290、27億元。晶圓加工設備與封測設備存在配套關系,基于當前晶圓廠投產(chǎn)計劃測算國內(nèi)晶圓加工設備2018-2020年市場規(guī)模分別為1483、1301、331億元;封裝測試設備2018-2020年市場規(guī)模分別為300、263、67億元。

給予半導體設備行業(yè)推薦評級。自上而下,在下游新興領域需求刺激以及政策助推下,國內(nèi)硅片廠和晶圓廠迎來擴產(chǎn)潮,2018-19年國內(nèi)半導體設備年均市場規(guī)模接近2000億。同時受益半導體產(chǎn)線技術迭代以及大基金重點扶持,國產(chǎn)半導體設備企業(yè)迎來發(fā)展機遇期。自下而上,關注細分領域龍頭標的。半導體設備行業(yè)馬太效應明顯,龍頭企業(yè)在這一輪發(fā)展機遇中更有望脫穎而出。重點推薦國產(chǎn)半導體設備龍頭北方華創(chuàng),半導體檢測設備龍頭長川科技,半導體單晶爐龍頭晶盛機電;建議關注布局半導體檢測業(yè)務的精測電子以及布局半導體清洗設備及濕法刻蝕工藝的至純科技。

風險提示:半導體設備需求不及預期;國產(chǎn)半導體設備技術突破不及預期;行業(yè)政策支持力度不及預期;下游產(chǎn)能投產(chǎn)進度不及預期;相關重點公司未來業(yè)績不及預期。

正文分析

1、半導體設備是什么?

1.1、半導體行業(yè)概述及產(chǎn)業(yè)鏈分析

半導體包括四類產(chǎn)品,分別是集成電路、光電子器件、分立器件、傳感器。2017年半導體行業(yè)市場規(guī)模為4122億美元,其中集成電路市場規(guī)模為3432億美元,占比為83.25%。

半導體集成電路是將晶體管、二極管等有源元件和電阻器電容器等無源元件,按照一定的電路互聯(lián),集成在一塊半導體單晶片上,從而完成特定的電路或者系統(tǒng)功能。包括模擬電路(Analog)、微處理器(Micro)、邏輯電路(Logic)、儲存器(Memory)。

行業(yè)概況:受儲存器電路中的DRAM和NAND Flash漲價影響,2017年全球半導體行業(yè)銷售額同比增長21.62%,首破4000億美元大關,增速創(chuàng)自2010年以來的新高。

地域分布:2017年北美地區(qū)半導體銷售額為885億美元,同比增長35%,增速居全球首位;亞太及其他地區(qū)銷售額為2488億美元,同比增長13.3%,占全球市場總值的60.36%。從國內(nèi)來看,2017年中國半導體銷售額為1315億美元,同比增長22.3%,占全球半導體市場比重為32%。

產(chǎn)業(yè)鏈構成:半導體產(chǎn)業(yè)鏈包括上游的半導體支撐產(chǎn)業(yè),中游的半導體制造產(chǎn)業(yè)以及下游的半導體應用產(chǎn)業(yè)。細分來看,半導體支撐產(chǎn)業(yè)包括半導體材料及半導體設備;中游的半導體制造的核心是集成電路制造,包括IC設計、IC制造以及IC封測;下游的半導體應用領域眾多,2017年全球半導體應用領域排名前三的行業(yè)是通信智能手機(31.83%)、PC/平板(26.13%)、工業(yè)/醫(yī)療(14.51%)。

工藝流程占比:2017年國內(nèi)IC設計、IC制造、IC封測分別實現(xiàn)銷售收入2073.5、1448.1、1889.7億元,同比增長26.1%、28.5%、20.8%,占整個集成電路市場規(guī)模比例分別為38%、27%、35%。與世界集成電路產(chǎn)業(yè)三業(yè)(設計、制造、封測)結構合理占比的3:4:3相比,國內(nèi)半導體產(chǎn)業(yè)呈現(xiàn)出了“兩頭強,中間弱”的特點,半導體設備行業(yè)的發(fā)展有待提高。

1.2、半導體設備的分類及功能

半導體設備是半導體行業(yè)的支撐行業(yè),主要應用于IC制造(前端設備)、IC封測(后道設備)兩大領域。其中,IC制造設備又包括晶圓制造設備和晶圓加工設備。其中晶圓制造設備主要由硅片廠(如SUMCO、金瑞泓、上海新昇)進行采購,最終產(chǎn)品為硅片;晶圓加工設備主要由代工廠(Foundry,如臺積電、中芯國際、上海長虹)或IDM企業(yè)(如Intel、Samsung)進行采購,最終產(chǎn)品為芯片;IC封測設備通常由專門的封測廠(如日月光、Amkor、長電科技)進行采購,包括揀選、測試、貼片、鍵合等多個環(huán)節(jié)。

晶圓制造設備

晶圓制造設備是通過對硅進行加工從而制造出硅片的設備。美國典型的半導體公司都不會自己制造硅片,硅材料和硅片制備是由高度專業(yè)化工廠完成,生產(chǎn)出來的硅片提供給半導體制造商以制造各種各樣的芯片。晶圓制造設備包括以下9類設備:

①熔煉礦熱爐。主要功能是用碳加熱硅石獲得冶金級硅(純度98%)。

②CVD設備。通過化學反應獲得半導體級硅(SGS,7-12個“9”的高純度),比如采取西門子法進行化學反應時,將用到西門子反應器。但該工藝生產(chǎn)后的硅沒有按照希望的晶體順序排列原子。

③單晶生長爐。包括CZ法和區(qū)熔法,主要功能是將半導體級的多晶硅塊轉換成一大塊單晶硅,從而獲得滿足芯片制造所需的電學和機械性質。目前主流是CZ法,其拉硅棒的直徑通常比區(qū)熔法大,但是區(qū)熔法由于不用坩堝,含氧量更低,純度更高。

④研磨機。應用于兩個環(huán)節(jié):一是去掉硅棒兩端;二是切片后對表面進行研磨。

⑤切片機。對硅錠進行切片,獲得硅片,包括線切及砂漿切割。

⑥倒角機。對硅片邊緣修整,減少機械應力產(chǎn)生的位錯。

⑦刻蝕機。腐蝕硅片表面約20微米以抹掉邊緣損傷及玷污。

⑧拋光機。對刻蝕后的表面進行拋光處理,表面平整度將影響到后續(xù)光刻。

⑨清洗機。使硅片在給芯片制造廠之前達到超凈的潔凈狀態(tài)。

晶圓加工設備

晶圓加工設備是指通過將硅片加工成芯片所需的設備。典型的集成電路硅片制造工藝要花費6-8周時間,包括幾百甚至上千道步驟來完成制造工藝。從本質上來看,集成電路是由晶體管(主要為場效應管)及電路共同構成,一切的工藝和設備流程的最終目的是在指甲蓋大小的范圍內(nèi)集成更多的晶體管并實現(xiàn)連接(如第四代 Intel CPU Haswell i7的晶體管數(shù)約為14億多)。

從設備端來看,晶圓加工廠可以分為6個獨立的生產(chǎn)區(qū):擴散(包括氧化、膜淀積和摻雜工藝)、光刻、刻蝕、薄膜、離子注入、拋光。其中,擴散區(qū)主要進行高溫工藝及薄膜淀積,設備包括氧化爐、高溫擴散爐等;光刻區(qū)的目的是將電路圖形轉移到硅片表面的光刻膠上,然后通過深紫外線曝光來印制掩膜版的圖像,設備包括涂膠/顯影設備,光刻機;刻蝕區(qū)是在沒有光刻膠保護的地方留下永久的圖形,設備包括刻蝕機、去膠機和濕法清洗設備;薄膜區(qū)是在生產(chǎn)各個步驟間的介質層與金屬層的淀積,包括化學氣相沉積(CVD)和物理氣相沉積(PVD)設備等;離子注入?yún)^(qū)的主要設備為離子注入機,是一種摻雜工具;拋光區(qū)主要作用是使硅片表面平坦化,主要設備為拋光機。

①氧化爐。主要是在硅片表面生長一層氧化層(SiO2),由于硅是半導體而二氧化硅是絕緣體,因此是良好的介質材料;同時二氧化硅具備保護器件劃傷和隔離玷污的作用。

②光刻機及涂膠/顯影設備。光刻的本質是把臨時電路結構復制到以后要進行刻蝕和離子注入的硅片上。主要包括氣相成底膜、旋轉涂膠、軟烘、對準和曝光、曝光后烘焙、顯影、堅膜烘焙、顯影觀察等8個步驟。目前光刻機主要包括極紫外光刻技術(EUV)、角度限制投影電子光束光刻技術(SCALPEL)、離子束投影光刻技術(IPL)和X射線光刻技術。

③刻蝕機。一般來說,互聯(lián)材料淀積在硅片表面,然后有選擇地去除它,就形成了由光刻技術定義的電路圖形。這種有選擇性的去除材料叫刻蝕??涛g的對象包括鋁合金復合層(實現(xiàn)電學鏈接)、多晶硅柵(構造晶體管中的柵極)等。刻蝕分為干法刻蝕和濕法刻蝕,前者的刻蝕劑是等離子體,后者的刻蝕劑主要是化學刻蝕液。影響刻蝕機性能的主要參數(shù)包括刻蝕速率、刻蝕剖面、刻蝕偏差、選擇比、均勻性、殘留物、聚合物形成、等離子體誘導損傷和顆粒沾污。

④高溫擴散爐。高溫擴散爐也是實現(xiàn)熱氧工藝的關鍵設備,包括臥式爐、立式爐和快速熱處理擴散爐(RTP)。通常硅片進行光刻前形成的二氧化硅稱為場氧,由氧化爐完成;利用多晶硅淀積形成柵極前的氧化稱為柵氧化硅,由高溫擴散爐完成。同時,完成離子注入后的退火時也能用到高溫擴散爐,有助于修復晶格缺陷。

⑤離子注入設備。本征硅的導電性很差,因此需要摻入其他雜質,使其結構和導電率發(fā)生變化,硅才能成為一個半導體。硅摻雜是制備半導體中pn結(pn結和前文中提到的柵極是晶體管的重要構成)的基礎,離子注入是最重要的摻雜方法。離子注入的兩個重要參數(shù)是劑量和射程。

⑥化學氣相沉積設備(CVD)。化學氣相淀積是通過氣體混合的化學反應在硅片表面淀積一層固體膜的工藝,應用的工藝包括光刻前的氣相底成膜以及形成絕緣介質層(如氮化硅)。CVD設備包括APCVD(常壓CVD)、LPCVD(低壓CVD)、等離子體增強CVD(PECVD)和高密度等離子體CVD(HDPCVD)。

⑦物理氣相沉積設備(PCD)。用于半導體制造業(yè)中的金屬化工藝被稱為物理氣相沉積,通過淀積一層金屬化薄膜,并輔助光刻及刻蝕的方法,從而在芯片上實現(xiàn)互聯(lián)金屬線和接觸孔或通孔鏈接。

⑧拋光機(CMP)。主要功能為獲得金屬和介質層的局部和全局的平坦化,主要的方法包括反刻、玻璃回流和旋涂膜層。

⑨測試設備。包括探針臺和測試機,根據(jù)探針測試芯片進行接觸,測試機進行測試。最終輸出結果為判斷一片硅片上的芯片成品率。

IC封測設備

IC封裝測試設備將加工好的晶圓進行揀選、分片、封裝、測試進而轉變成為獨立可用的電子元器件。封裝測試環(huán)節(jié)運用到以下8類設備:

①減薄機。將加工好的晶圓進行背面減薄,通常需減薄到8—10密耳,以降低硅片裝配過程中的熱應力、減小硅片封裝后的尺寸。

②劃片機。將硅片切割為單個芯片,劃片后,根據(jù)晶圓檢測環(huán)節(jié)的檢測和揀選結果,芯片將被分類進行下一個環(huán)節(jié),符合性能預期的芯片將進行正式的封裝。劃片機通常采用金剛石刃作為切割工具。

③貼片機。將切割后性能符合預期的芯片背面粘貼到引線框架或基座上,最常用的粘貼方法是利用環(huán)氧樹脂進行粘貼。

④焊線機。主要用于引線鍵合環(huán)節(jié),將芯片與引線框架進行電連接。引線鍵合屬于封裝的一級互連環(huán)節(jié),常用的一級互聯(lián)方法有載帶自動焊、倒裝焊、引線互聯(lián)。

⑤塑封機。將完成引線鍵合的芯片和引線框架完成包封,常用的塑料封裝方法有SOP、SIP、QFP,進行塑封是為了對芯片和焊線進行保護。

⑥切筋打彎機。即將模塊式的引線框架切割成為單獨的塑封好的引線框架,之后將引腳成型,達到工藝需求要求的形狀。常見的引腳打彎形狀有海鷗形引腳、直插型引腳、J形引腳。

⑦分選機。分選機是將封裝后的芯片和測試機進行連接的自動化芯片運輸和連接設備,通常需根據(jù)不同封裝形式進行分選機設計。

⑧測試機。對接分選機,對封裝后的芯片進行電學性能測試。封裝后的測試是芯片制造環(huán)節(jié)最后的測試環(huán)節(jié),測試通過的芯片將直接交付給客戶。封裝過程對芯片可能存在一定的損壞,因此封裝后進行芯片功能測試是非常必要的。

1.3、半導體設備行業(yè)發(fā)展概況

市場規(guī)模:2017年行業(yè)增長迅速,韓國取代中國***成為第一大市場。2017年全球半導體設備市場規(guī)模566.2億美元,較2016年大幅增長37.3%,創(chuàng)歷史新高,增速為近7年來的最高水平。主要原因有兩點:一是從全球經(jīng)濟復蘇帶來半導體行業(yè)呈現(xiàn)增長態(tài)勢;二是中國大陸半導體產(chǎn)業(yè)的快速跟進對全產(chǎn)業(yè)鏈形成刺激。

從地區(qū)貢獻來看,半導體設備市場需求與代工廠分布密切相關。2017年韓國為全球第一大半導體設備市場,占比為32%;中國大陸為全球第三大半導體設備市場,占比為15%。

分地區(qū)增速方面,2017年韓國半導體設備市場規(guī)模約180億美元,同比增長133%,主要系三星成立半導體代工業(yè)務部門,芯片及芯片外包業(yè)務需求持續(xù)增長。中國***半導體設備市場規(guī)模約115億美元,同比下滑6%,喪失連續(xù)五年的第一寶座,主要系***半導體所依賴增長的PC市場進入衰退期,智能手機增速趨緩。中國大陸半導體市場規(guī)模約82億美元,同比增長27%。

競爭格局:全球市場集中度高,國產(chǎn)企業(yè)差距明顯。根據(jù)SEMI統(tǒng)計,2017年全球前十大IC設備企業(yè)合計營收為414.8億美元,占全球市場比重為73%。其中,前四強與2016年一致,合計營收占比為59%。

從細分產(chǎn)品來看,核心設備壟斷程度較高。在整個半導體設備市場中,晶圓加工設備大約占整體的80%,封裝及組裝設備大約占 7%,測試設備大約占 9%,其他設備大約占 4%。同時根據(jù)VLSI Research 2017年公布的數(shù)據(jù),晶圓加工設備中,擴散設備、光刻設備、刻蝕設備、離子注入設備、薄膜沉積設備、前道檢測設備、拋光設備、清洗設備投資額占生產(chǎn)設備比例為1%、23%、30%、2%、25%、13%、4%、2%。

在市占率方面,2016年光刻機,刻蝕機,CVD、PVD設備的前三大設備供應商市占率合計分別為92.8%、90.5%、70%、96.2%,壟斷程度較高。

國產(chǎn)半導體設備企業(yè)實力仍然偏弱。根據(jù)Gartner 數(shù)據(jù)顯示,全球列入統(tǒng)計的規(guī)模以上晶圓制造設備商共計58 家,其中日本企業(yè)最多,數(shù)量達到21 家,占比為36%。其次是歐洲的13 家、北美10 家、韓國7 家。中國大陸僅4 家納入統(tǒng)計,按數(shù)量統(tǒng)計占比不到 7%,國產(chǎn)半導體設備公司整體實力偏弱。

同時,中國雖然是全球半導體設備第三大市場,但是2017年國產(chǎn)半導體集成電路設備國內(nèi)市占率僅為4%,且國產(chǎn)設備基本上都是銷售到國家投資的集成電路生產(chǎn)線,比如中芯國際、長江存儲、上海華虹、華力微。外商投資的公司普遍采用進口設備。

根據(jù)中國電子專用設備協(xié)會統(tǒng)計,2017年中國半導體設備前三強分別是晶盛機電、電科裝備、捷佳偉創(chuàng),其中主營業(yè)務為集成電路設備的前三強分別是中微半導體、北方華創(chuàng)、上海微電子,2016年營收規(guī)模分別為6.87、4.85、2.90億元。

2、三大因素齊發(fā)力,國產(chǎn)半導體設備迎發(fā)展良機

我們認為國產(chǎn)半導體設備正處于發(fā)展的機遇期,主要基于三點原因:①受汽車電子以及工業(yè)互聯(lián)網(wǎng)等新興領域的需求帶動,半導體行業(yè)發(fā)展有望持續(xù)復蘇;②國家政策持續(xù)加碼,國家集成電路產(chǎn)業(yè)投資基金(以下簡稱大基金)第二期正在籌資,國產(chǎn)企業(yè)有望充分受益;③硅片廠和晶圓廠產(chǎn)能擴張疊加技術迭代,國產(chǎn)半導體設備企業(yè)有望在8英寸半導體設備實現(xiàn)突圍,縮短與外企的差距。

2.1、下游需求亮點頻現(xiàn),半導體行業(yè)有望持續(xù)復蘇

從長期來看,隨著下游應用多點開花,半導體行業(yè)發(fā)展有望增添新動力。其中,以工業(yè)互聯(lián)網(wǎng)、物聯(lián)網(wǎng)、人工智能、汽車電子、5G為主體的半導體新興應用預計將形成良好的需求共振,全球半導體行業(yè)發(fā)展將步入機遇期。

①工業(yè)互聯(lián)網(wǎng)領域。2017年12月27日,國務院印發(fā)《關于深化“互聯(lián)網(wǎng)+先進制造業(yè)”發(fā)展工業(yè)互聯(lián)網(wǎng)的指導意見》,成為指導工業(yè)互聯(lián)網(wǎng)發(fā)展的綱領性文件;此次專項工作組的成立,旨在貫徹落實《指導意見》,加強對有關工作的統(tǒng)籌規(guī)劃和政策協(xié)調(diào);此外2018年6月8日,工信部印發(fā)《工業(yè)互聯(lián)網(wǎng)發(fā)展行動計劃(2018-2020年)》,計劃于2020年底建成5個左右標識解析國家頂級節(jié)點,標識注冊量超過20億。

在這一背景下,工業(yè)互聯(lián)網(wǎng)行業(yè)正迎來發(fā)展大時代;據(jù)中國工業(yè)互聯(lián)網(wǎng)產(chǎn)業(yè)聯(lián)盟測算,2017年我國工業(yè)互聯(lián)網(wǎng)直接產(chǎn)業(yè)規(guī)模約為5700億元,預計2017年到2019年,產(chǎn)業(yè)規(guī)模將以18%的年均增速高速增長,到2020年將達到萬億元量級。芯片技術與傳感器技術是工業(yè)互聯(lián)網(wǎng)行業(yè)發(fā)展的重要支柱,有望充分受益行業(yè)

②物聯(lián)網(wǎng)領域。2010年10月18日,國務院發(fā)布《國務院關于加快培育和發(fā)展戰(zhàn)略性新興產(chǎn)業(yè)的決定》,將物聯(lián)網(wǎng)作為國家首批加快培育戰(zhàn)略性新型產(chǎn)業(yè)。2011年-2017年,物聯(lián)網(wǎng)發(fā)展迅速,市場規(guī)模自2633億元迅速增加至11605億元。根據(jù)《信息通信行業(yè)“十三五”發(fā)展規(guī)劃物聯(lián)網(wǎng)分冊》,預計2020年國內(nèi)物聯(lián)網(wǎng)總體產(chǎn)業(yè)規(guī)模將突破1.5萬億,公眾網(wǎng)絡M2M連接數(shù)將突破17億。

物聯(lián)網(wǎng)是以互聯(lián)網(wǎng)為基礎實現(xiàn)的物物相息,智能感知、智能識別等技術是實現(xiàn)物物相息的基礎,與之相對應的安全芯片、通訊射頻芯片、身份識別芯片、移動支付芯片需求有望得到提升。

③人工智能領域。人工智能或稱機器學習,是在面臨海量數(shù)據(jù)時做到舉一反三,通過大數(shù)據(jù)訓練能夠尋找數(shù)據(jù)與結果之間的內(nèi)在關聯(lián),并形成新的洞察力以幫助企業(yè)進行最優(yōu)決策。2017年7月、12月,國務院和工信部接連發(fā)布《新一代人工智能發(fā)展規(guī)劃的通知》、《促進新一代人工智能產(chǎn)業(yè)發(fā)展三年行動計劃(2018-2020年)的通知》,為我國人工智能發(fā)展提供政策保障。規(guī)劃表示到2020年我國人工智能核心產(chǎn)業(yè)規(guī)模超過1500億元,帶動相關產(chǎn)業(yè)規(guī)模超過1萬億元。根據(jù)中國信息通信研究院發(fā)布的《2017年中國人工智能產(chǎn)業(yè)數(shù)據(jù)報告》,2017年,我國人工智能市場規(guī)模達到了216.9億元,比2016年增長了52.8%,預計2018年市場規(guī)模有望達到339億元,增速達到56.3%。

④汽車電子領域。汽車電子化是汽車技術發(fā)展進程中的一次革命,主要應用為汽車電子控制裝置和車載汽車電子裝置。在國內(nèi)汽車電子化最為顯著的代表是汽車的電動化,2012-2017年,國內(nèi)電動汽車產(chǎn)量的復合年均增長率達79.16%。2016年11月29日,國務院印發(fā)《‘十三五’國家戰(zhàn)略性新興產(chǎn)業(yè)發(fā)展規(guī)劃》,提出重點發(fā)展新能源汽車,到2020年實現(xiàn)當年純電動汽車和插電式混合動力汽車的產(chǎn)銷量達200萬輛以上,相較2017年將增加200.03%。目前我國電動汽車電機電控核心組件IGBT芯片98%采用國外進口,在國內(nèi)汽車電子化蓬勃發(fā)展的過程中,汽車芯片的國產(chǎn)替代將存在較大的需求。

⑤5G領域。工信部2017年1月17日印發(fā)《信息通信行業(yè)發(fā)展規(guī)劃(2016-2020)》,明確5G是我國信息通信行業(yè)十三五期間重點研發(fā)和規(guī)劃工程,將在十三五期間構建5G試商用網(wǎng)絡,打造系統(tǒng)、芯片、終端、儀表等完整產(chǎn)業(yè)鏈。同時國家發(fā)改委于2018年2月23日發(fā)布《2018年新一代信息基礎設施建設工程擬支持項目名單》進一步為推進5G商用加碼。中國移動、中國聯(lián)通和電信則緊跟規(guī)劃腳步,計劃將于2018年在上海、杭州、深圳等16城市進行試點商用。目前聯(lián)發(fā)科、臺積電等均在進行5G芯片研發(fā),5G的應用推進將在移動智能設備端帶來革命性的更新需求。根據(jù)英飛凌預測,到2020年,全球將有500億臺設備進行5G連接。

2.2、“02專項”+大基金助力,政策紅利持續(xù)落地

半導體行業(yè)作為支撐經(jīng)濟社會發(fā)展和保障國家安全的戰(zhàn)略性、基礎性和先導性產(chǎn)業(yè),一直受到國家的關注和重點扶持。國家先后通過2000年的18號文,2011年的4號文、2008年科技部啟動的02專項、2014年的《國家集成電路產(chǎn)業(yè)發(fā)展推進綱要》、2015年的《中國制造2025》等一系列文件對半導體進行支持,政策力度大,且具備連貫性。

在眾多政策支持手段中,“02專項”和大基金是兩大亮點,本文予以重點分析。

“02專項”:已取得階段性進展

2008年,科技部和信產(chǎn)部啟動了“極大規(guī)模集成電路制造裝備及成套工藝”項目,以專項的形式組織了一批國內(nèi)半導體設備公司進行了一系列重點工藝和技術的攻關,包括 45-22 納米關鍵制造裝備攻關,開發(fā) 32-22 納米 CMOS 工藝、 90-65 納米特色工藝,開展 20-14 納米前瞻性研究,形成 65-45納米裝備、材料、工藝配套能力及集成電路制造產(chǎn)業(yè)鏈,進一步縮小與世界先進水平差距。

通過“02專項”的扶持,國內(nèi)誕生了北方華創(chuàng)、中微半導體、上海微電子等一批半導體設備生產(chǎn)領軍者,并形成了 65-45 納米裝備、材料、工藝配套能力及集成電路制造產(chǎn)業(yè)鏈。

大基金一期成效明顯,二期正處于籌劃之中

國家集成電路產(chǎn)業(yè)投資基金(大基金)2014年9月24日正式成立,存續(xù)期為10年,注冊資本為987.2億人民幣,財政部、國開金融、中國煙草、北京亦莊為公司前4大股東,持股比例分別為36.47%、22.29%、11.14%、10.13%。大基金分為兩期進行,截至2018年5月,大基金一期已經(jīng)投資完畢,共募集1387.2億人民幣,有效決策投資67個項目,累計項目承諾投資額達1188億元,實際出資818億元。

從投資領域來看,大基金一期投資重點關注芯片制造,設備領域較少涉及。截止到2017年底,大基金一期承諾投資中,芯片制造、芯片設計、封裝測試和裝備材料的投資占比分別為67%、17%、10%、6%。在半導體設備方面,目前大基金投資比重仍相對較低,投資對象僅包括北方華創(chuàng)、中微半導體、長川科技、沈陽拓荊等設備類公司。

在影響力方面,大基金對長電科技、通富微電、華天科技、中芯國際等國內(nèi)行業(yè)領導者的投資均可反映大基金偏向投資行業(yè)引領型企業(yè)以促進中國半導體企業(yè)的國際影響力形成。如2014年12月,大基金通過與長電科技簽署三級股權架構協(xié)議注資3億美元助力其完成對原全球第四大封裝測試廠星科金朋的“蛇吞象”式收購,收購完成后長電科技順利于2017年成為全球第三大封測企業(yè)。

值得關注的是,大基金有效撬動了地方政府投資。截至2018年5月,全國已有15個省份或城市設立集成電路投資基金,已設立基金總投資額為3230億元,另有無錫和廈門分別規(guī)劃設立200億元和500億元。地方投資基金的投向行業(yè)較為分散,深圳明確地指出將投向存儲IC、上海和安徽將重點投資汽車芯片等新消費芯片的建設、陜西重點投資半導體功率器件,其他地區(qū)均以芯片制造為主、分散投資到產(chǎn)業(yè)鏈設計、封測環(huán)節(jié)和裝備材料環(huán)節(jié)。

另一方面,大基金二期正處于籌備之中。根據(jù)搜狐新聞網(wǎng)報道,大基金二期籌資規(guī)模預計為3000億元(高于此前預期的1500-2000億),按照1:3的撬動比測算,撬動的社會資金在9000億左右,整體投資總額將超過萬億,預計將對半導體行業(yè)發(fā)展起到有效地提振作用。

2.3、產(chǎn)能擴張+技術迭代,國產(chǎn)設備迎來機遇期

在行業(yè)下游需求新的增長點刺激下以及國家政策的大力扶持,國內(nèi)半導體行業(yè)發(fā)展開始提速,硅片廠和晶圓廠項目持續(xù)上馬籌建。根據(jù)我們統(tǒng)計,截至2018年7月,國內(nèi)在建及擬建8英寸硅片廠對應產(chǎn)能合計為223萬片/月,12英寸硅片廠產(chǎn)能合計為309萬片/月;在建及擬建8英寸晶圓廠對應產(chǎn)能合計為54.7萬片/月,12英寸晶圓廠對應產(chǎn)能合計為108.5萬片/月。

與存量產(chǎn)能相比,硅片廠與晶圓廠的產(chǎn)能增量均非常明顯,且主要集中于12英寸產(chǎn)能。根據(jù)我們統(tǒng)計,截至2018年7月國內(nèi)8英寸硅片存量產(chǎn)能為68.5萬片/月,增量產(chǎn)能提升幅度為326%;國內(nèi)12英寸硅片產(chǎn)能幾乎空白,僅能統(tǒng)計到上海新昇的5萬片/月,增量產(chǎn)能提升幅度為62倍。在晶圓廠方面,國內(nèi)8英寸晶圓存量產(chǎn)能為83.3萬片/月,增量產(chǎn)能提升幅度為66%;國內(nèi)12英寸晶圓存量產(chǎn)能60.9萬片/月,增量產(chǎn)能提升幅度為178%。

半導體產(chǎn)線技術迎來迭代,國產(chǎn)半導體設備企業(yè)有望迎來發(fā)展機遇期。半導體設備作為下游硅片廠及晶圓廠建廠時重要的資本開支,在國內(nèi)硅片廠以及晶圓廠的持續(xù)大幅投產(chǎn)的背景下,需求有望得到大幅提升。從半導體設備的投資側重點來看,12英寸硅片廠和晶圓廠增量產(chǎn)能均大幅超過8英寸增量產(chǎn)能,充分表明未來2年晶圓廠正處于技術迭代期。在海外半導體設備龍頭競逐12英寸半導體設備的過程中,國產(chǎn)半導體設備企業(yè)在以大基金為核心的政策扶持下有望在8英寸半導體設備實現(xiàn)突圍,縮短與外企的差距。另一方面,我們亦關注到部分國產(chǎn)企業(yè)在12英寸半導體設備取得突破,如晶盛機電在中環(huán)領先半導體的中標項目中已涵蓋12英寸半導體單晶爐,預計隨著12英寸成為主流技術路線,公司的業(yè)績將充分受益。

3、半導體設備市場空間測算

3.1、 晶圓制造設備市場空間測算

晶圓制造設備是通過對 硅進行加工從而制造出硅片的設備,主要包括單晶生長爐、研磨機、切片機等9類設備。晶圓制造設備的需求與下游硅片廠的資本開支密切相關。我們分下游投資項目落地的確定性及投資規(guī)模兩個維度對晶圓制造設備的市場空間進行衡量。

硅片廠產(chǎn)能落地的確定性分析:國內(nèi)硅片供需測算

硅片的供給端為國內(nèi)的硅片廠,需求端為國內(nèi)的晶圓廠,我們通過二者的產(chǎn)能規(guī)模對國內(nèi)硅片的需求進行測算。

從需求端來看,根據(jù)2.3節(jié)中的統(tǒng)計,國內(nèi)8英寸晶圓廠存量產(chǎn)能為83.3萬片/月,增量產(chǎn)能為54.7萬片/月,合計產(chǎn)能為138萬片/月;國內(nèi)12英寸晶圓廠存量產(chǎn)能為60.9萬片/月,增量產(chǎn)能為108.5萬片/月,合計產(chǎn)能為169.4萬片/月。

通常情況下,硅片廠作為晶圓廠的上游,出于自身產(chǎn)能彈性的考慮,往往需要預留富余產(chǎn)能;同時晶圓廠受產(chǎn)品良率制約,最終的產(chǎn)能低于供給端的硅片產(chǎn)能。晶圓加工行業(yè)良率的危險生產(chǎn)邊界為60%,以中芯國際為例,面向高通的生產(chǎn)線良率為40~60%,因而我們以晶圓良率的危險生產(chǎn)邊界來假設晶圓廠的硅片需求折算系數(shù)為60%,即晶圓廠對8英寸硅片需求為230萬片/月,12英寸硅片需求為282.3萬片/月。

從供給端來看,根據(jù)2.3節(jié)中的統(tǒng)計,國內(nèi)8英寸硅片廠存量產(chǎn)能為68.5萬片/月,對應缺口為161.5萬片/月;國內(nèi)12英寸硅片廠存量產(chǎn)能為5萬片/月,對應缺口為277.3萬片/月。

從目前已有的硅片廠增量項目信息來看,對應8英寸硅片產(chǎn)能增量為223萬片/月,其中截止到2020年底產(chǎn)能增量為188萬片/月,2020年以后產(chǎn)能增量為35萬片/月。按此標準測算8英寸硅片市場將在2020年左右達到市場供需均衡狀態(tài)。國內(nèi)12英寸硅片產(chǎn)能增量為309萬片/月,其中截止到2020年底產(chǎn)能增量為134萬片/月,2020年以后產(chǎn)能增量為309萬片/月。按此標準測算12英寸硅片市場將在2020年缺口依舊達143.3萬片/月,預計將在2020年后達到供需平衡。

基于上述分析,國內(nèi)半導體硅片存在明顯供需缺口,且12英寸硅片的缺口在短期內(nèi)(2020年前)難以得到滿足,這將為硅片廠持續(xù)擴產(chǎn)增加動力。我們認為當前已有規(guī)劃的硅片廠項目預計將大概率落地,且不排除新的投產(chǎn)項目出現(xiàn)。

晶圓制造設備市場空間測算

我們基于以下假設條件及對晶圓制造設備市場空間進行測算:

1.假設硅片廠產(chǎn)能均能按期落地;

2.國內(nèi)8英寸和12英寸硅片增量產(chǎn)能分別為223萬片/月和309萬片/月,我們根據(jù)項目投產(chǎn)進度年度分別對2018、2019、2020、2020年以后四個時間區(qū)間進行產(chǎn)能劃分,其中未注明具體投產(chǎn)進度產(chǎn)能假設按照年度進行平滑;

3.8英寸單晶爐單臺產(chǎn)能約為8000片/月,12英寸單晶爐由于目前工藝尚不成熟,產(chǎn)能約為5000片/月。但硅片廠在購買設備時通常會考慮到產(chǎn)能彈性因素,設備購買量通常高于設定產(chǎn)能,如金瑞泓10萬片/月的8英寸硅片項目共購置了30臺單晶爐,對應單臺產(chǎn)能為3333片/月?;诖?,我們假設硅片廠在采購單晶爐時按照8英寸4000片/月、12英寸3000片/月計算;

4.根據(jù)調(diào)研,假設8英寸半導體單晶爐單價為600萬元,12英寸半導體單晶爐單價為2000萬元;

5.根據(jù)中國產(chǎn)業(yè)信息網(wǎng),單晶爐投資占整個晶圓制造設備投資額為25%,其余設備占比75%。

基于以上假設,我們預計國內(nèi)晶圓制造設備2018-2020年市場規(guī)模分別為153、290、27億元。

3.2、晶圓加工及封測市場規(guī)模預測

晶圓加工設備包括光刻機、刻蝕機、薄膜沉積設備等,設備需求與晶圓廠投資密切相關。IC封測設備包括檢測設備與封裝設備,檢測設備又分為前端檢測設備與后端檢測設備,其中前端檢測設備指應用于晶圓加工環(huán)節(jié)的檢測設備,后端檢測設備連同封裝設備指應用于IC封測工藝中的設備。半導體封測設備通常與晶圓加工設備相配套,因此我們通過同一模型進行兩大半導體設備市場空間的測算。

我們基于以下假設條件對晶圓加工設備和IC封測設備的市場空間進行測算:

1.我們在2.3節(jié)中統(tǒng)計了國內(nèi)8英寸和12英寸晶圓廠的項目信息,假設項目投資額按照投產(chǎn)進度進行平滑,我們統(tǒng)計出2018、2019、2020、2020年以后四個時間區(qū)間的8英寸晶圓廠投資額分別為106.10、73.93、73.93、0億元;12英寸晶圓廠投資額分別為2365.50、2094.83、478、1435.40億元。

2.我們假設晶圓加工設備開支占晶圓廠投資額的比重為60%;同時根據(jù)搜狐網(wǎng)轉發(fā)的VLSI Research 2017年公布的數(shù)據(jù),晶圓加工設備中,擴散設備、光刻設備、刻蝕設備、離子注入設備、薄膜沉積設備、前道檢測設備、拋光設備、清洗設備投資額占生產(chǎn)設備比例為1%、23%、30%、2%、25%、13%、4%、2%。

3.根據(jù)半導體行業(yè)觀察網(wǎng),晶圓加工設備占整個半導體設備投資額的80%,封裝設備占比為7%,后道測試設備占比為9%。由于封測設備通常與晶圓加工設備相配套,我們假設封裝設備市場空間與晶圓加工設備市場空間比例為1:11,后道測試設備市場空間與晶圓加工設備市場空間比例為1:9。

基于此,我們構建國內(nèi)晶圓加工及封測市場規(guī)模預測模型,預計國內(nèi)晶圓加工設備2018-2020年市場規(guī)模分別為1483、1301、331億元;封裝測試設備2018-2020年市場規(guī)模分別為300、263、67億元。

半導體設備市場空間小結:綜上所述,2018-2020年國內(nèi)半導體設備市場空間分別為1935.68、1854.47、424.73億元。

4、行業(yè)評級及投資策略

自上而下,給予半導體設備行業(yè)推薦評級。在下游新興領域需求刺激以及政策助推下,國內(nèi)硅片廠和晶圓廠迎來擴產(chǎn)潮,2018-19年國內(nèi)半導體設備年均市場規(guī)模接近2000億。同時受益半導體產(chǎn)線技術迭代以及大基金重點扶持,國產(chǎn)半導體設備企業(yè)迎來發(fā)展機遇期。

自下而上,關注細分領域龍頭標的。半導體設備行業(yè)馬太效應明顯,龍頭企業(yè)在這一輪發(fā)展機遇中更有望脫穎而出。重點推薦國產(chǎn)半導體設備龍頭北方華創(chuàng),半導體檢測設備龍頭長川科技,半導體單晶爐龍頭晶盛機電;建議關注布局半導體檢測業(yè)務的精測電子以及布局半導體清洗設備及濕法刻蝕工藝的至純科技。

4.1、 北方華創(chuàng):充分受益半導體設備國產(chǎn)化,公司步入發(fā)展機遇期

晶圓廠投資熱潮催生半導體設備需求,國家意志助推設備進口替代。根據(jù)SEMI的數(shù)據(jù)統(tǒng)計,預估在2017-2020年間,全球將有62座新的晶圓廠投入營運。期間國內(nèi)將有26座新的晶圓廠投入營運,占新增晶圓廠比重高達42%。在這一背景下,半導體設備需求有望大幅提升;根據(jù)公司年報披露,2017年全球半導體設備銷售額預計達550億美元,同比增長35.6%,其中中國大陸半導體設備銷售額將達到75.90億美元,成為全球第三大半導體設備市場。另一方面,國家集成電路產(chǎn)業(yè)投資基金第二期正在籌資之中,目前方案已上報國務院并獲批。中國證券報資料顯示,國家集成電路產(chǎn)業(yè)投資基金二期籌資規(guī)模在1500億-2000億左右,按照1:3的撬動比測算,撬動的社會資金在4500-6000億左右,疊加國家集成電路產(chǎn)業(yè)投資基金第一期1387億元及所撬動的5145億元社會資金,投資總額將超過萬億元。目前我國半導體行業(yè)的發(fā)展已經(jīng)上升到國家戰(zhàn)略高度,國家集成電路產(chǎn)業(yè)投資基金的持續(xù)投入充分彰顯了國家對產(chǎn)業(yè)的支持力度,國產(chǎn)半導體設備企業(yè)迎來崛起良機。

公司是國產(chǎn)半導體設備龍頭,泛半導體設備布局不斷完善。公司作為國家02重大科技專項承擔單位,也是國家集成電路產(chǎn)業(yè)投資基金重點扶持的企業(yè),已先后完成了12吋集成電路制造設備90-28nm等多個關鍵制程的攻關工作,14nm制程設備也已交付至客戶端進行工藝驗證。目前,公司28nm及以上技術代制程設備已批量進入了國內(nèi)主流集成電路生產(chǎn)線量產(chǎn),2017年半導體設備實現(xiàn)營業(yè)收入11.34億元,同比增長39.47%。同時公司積極布局泛半導體設備,在半導體照明、光伏、功率器件等領域建立起了行業(yè)領先優(yōu)勢;2017年公司光伏單晶爐設備獲得訂單約10億元,預計將持續(xù)增厚公司業(yè)績。此外,公司于2017年8月作價1500萬美元收購美國AkrionSystemsLLC公司,布局精密清洗領域,進一步完善公司半導體設備的全產(chǎn)業(yè)鏈布局。

股權激勵綁定人才隊伍,研發(fā)為核奠定成長根基。公司目前已形成國內(nèi)高端管理技術人才和海外專家為核心的多層次、多梯度的人才隊伍,半導體設備領域專業(yè)技術員工占比超過一半,碩士學歷以上的員工總數(shù)占比約30%。2018年3月公司發(fā)布股票期權激勵計劃(草案),擬向341名核心技術員工及管理骨干授予450萬份股票期權,首次授予的股票期權行權價格為35.39元/股,股權激勵的落地預計將進一步調(diào)動員工的工作積極性。另一方面,公司高度重視研發(fā)投入,2017年研發(fā)投入為7.36億元,占營收比例為33.13%,截止到2017年年末公司已累計授權專利2000項;半導體行業(yè)屬于資金密集型、技術密集型行業(yè),持續(xù)高位的研發(fā)投入將為公司業(yè)績的持續(xù)增長提供動力。

維持公司“增持”評級。預計公司2018-2020年凈利潤為1.73、2.56、3.79億元,對應EPS為0.38、0.56、0.83元/股,按照最新收盤價對應PE為135、92、62倍。公司通過持續(xù)研發(fā)投入已成為國產(chǎn)半導體設備龍頭,未來有望充分受益行業(yè)增長,維持公司“增持”評級。

風險提示:半導體設備需求不及預期;公司核心產(chǎn)品研發(fā)進度不及預期;行業(yè)政策支持力度不及預期;公司業(yè)績不及預期;第二期國家集成電路產(chǎn)業(yè)投資基金進展不及預期。

4.2、 精測電子:盈利能力持續(xù)提升,2018年業(yè)績高增長可期

盈利能力持續(xù)提升,全年有望持續(xù)高增長。2018年一季度公司毛利率與凈利率分別為55.17%及25.79%,環(huán)比上升6.91、8.51個百分點;同比上升10.38、8.19個百分點。公司盈利能力提升的原因主要有兩點:一是受產(chǎn)品結構優(yōu)化毛利率持續(xù)增加;二是期間費用同比下滑進一步促進凈利率提升,2018年一季度公司管理費用及銷售費用占營收比例為20.55%、9.13%,同比下降5.83、1.29個百分點。此外,截止到一季度底公司存貨為2.58億元,創(chuàng)歷史新高;考慮到下游面板檢測及半導體檢測行業(yè)景氣度持續(xù)提升,預計公司全年業(yè)績有望實現(xiàn)持續(xù)高增長。

產(chǎn)品序列不斷完善,“光、機、電”一體化優(yōu)勢助推面板檢測設備業(yè)績持續(xù)提升。公司是國產(chǎn)面板檢測設備龍頭,在Module制程檢測系統(tǒng)市場處于領先地位;2014年公司引進了宏瀨光電和***光達相關專利,開始布局AOI光學檢測系統(tǒng)和平板顯示自動化設備,形成了“光、機、電”一體化的產(chǎn)品線。目前公司已實現(xiàn)Cell制程產(chǎn)品的規(guī)模銷售以及Array制程的部分銷售,質地優(yōu)秀。2017年公司AOI光學檢測系統(tǒng)占營收比重為45.31%,首次超過公司傳統(tǒng)主業(yè)模組檢測系統(tǒng)。根據(jù)HIS統(tǒng)計,2015年全球Array、Cell和Module各制程設備投資額占比分別為74%、21%、5%,隨著公司面板檢測設備向前段制程延伸,未來業(yè)績有望實現(xiàn)持續(xù)快速增長。

內(nèi)外結合積極布局,半導體測試設備有望提供良好業(yè)績彈性。根據(jù)《中國制造2025》,到2020、2025年中國集成電路內(nèi)需市場自給率分別達到40%、70%;與此同時,中興通訊事件亦敲響了國產(chǎn)芯片發(fā)展不足的警鐘,半導體行業(yè)發(fā)展有望步入機遇期。半導體測試設備在IC設計、晶圓制造加工、封裝測試三大工藝環(huán)節(jié)均有應用,受益行業(yè)景氣度提升需求有望持續(xù)增加。公司已將半導體測試設備納入未來發(fā)展戰(zhàn)略,2018年1月公司與韓國IT&TCo.,LTD設立中外合資公司武漢精鴻開始布局半導體測試設備,通過借助IT&T在半導體測試領域的技術研發(fā)實力,未來有望實現(xiàn)公司在半導體測試領域的快速突破。

維持公司“增持”評級。預計公司2018-2020年實現(xiàn)歸母凈利潤3.21、4.53、5.04億元,按照最新收盤價對應PE為22、16、14倍。在面板檢測設備領域,公司質地優(yōu)秀,有望充分受益行業(yè)增長;同時公司依托原有主業(yè)優(yōu)勢,通過內(nèi)外結合的方式布局半導體測試設備,業(yè)績具備良好的彈性,維持“增持”評級。

風險提示:面板檢測設備業(yè)務推廣不及預期、半導體測試設備業(yè)績增長不及預期;半導體行業(yè)發(fā)展不及預期;公司業(yè)績不及預期。

4.3、 長川科技:半導體后道測試設備國內(nèi)龍頭,在研高端產(chǎn)品有望加速國產(chǎn)替代

半導體后道測試設備國內(nèi)龍頭,優(yōu)質客戶合作關系穩(wěn)定。公司是國內(nèi)半導體后道測試設備供應商中唯一的A股上市公司,主要產(chǎn)品為測試機和分選機。2018年一季度,公司營業(yè)收入為0.45億元,同比增長122.46%;實現(xiàn)歸母凈利潤0.07億元,同比增長80.4%。下游客戶方面,公司與長電科技、華天科技保持較穩(wěn)定的合作關系,2014-2017年,公司對長電科技、華天科技的合計銷售占公司銷售總額比例分別為55.28%、64.18%、62.58%、60.85%。2017年,長電科技和華天科技分別實現(xiàn)營業(yè)收入234.13億元、68.86億元,同比增長25.05%、29.19%,下游優(yōu)質客戶的發(fā)展將會給公司提供穩(wěn)定的設備需求。

充分受益政策激勵,半導體測試設備大有可為。截止到2017年,國家共出臺17份促進半導體行業(yè)發(fā)展相關政策。2014年工信部印發(fā)的《國家集成電路產(chǎn)業(yè)發(fā)展推進綱要》首次明確提出2020年封裝測試技術需達到國際領先水平,同時設立國家集成電路產(chǎn)業(yè)基金(簡稱大基金)。大基金一期共募集1387.20億元,10%投資于封測行業(yè)。目前大基金是公司第三大股東,持有公司3.86%股份。受益于國家政策驅動,中國半導體行業(yè)發(fā)展備受期待。據(jù)SEMI預測,2018年中國半導體設備市場規(guī)模將達118.1億美元,依據(jù)2017年全球測試設備在半導體設備行業(yè)所占6.11%比重推算,2018年中國半導體測試設備市場規(guī)模將提升至7.21億美元。

研究成果顯著,在研高端測試設備有望實現(xiàn)國產(chǎn)替代。公司重視測試技術和分選技術研發(fā)工作,2014年以來,公司研發(fā)投入占營業(yè)收入比重逐年上升,2016-2017均保持在20%以上。截至2018年8月7日,公司發(fā)明和實用型專利合計91項,僅2017年申請的發(fā)明專利和實用專利合計即達到23項。與此同時,公司IPO募投“研發(fā)中心建設項目”預計投資0.67億元,布局模擬IC、高壓大功率、數(shù)字IC、多類別自動測試等高端測試技術研究。據(jù)公司招股說明書披露,公司募投項目將在2018年10月份落地,項目落地后,公司在完善高端測試設備業(yè)務布局同時,有望實現(xiàn)國內(nèi)高端測試設備的國產(chǎn)替代。

維持公司“增持”評級。隨著國內(nèi)半導體行業(yè)的進一步發(fā)展,高端測試設備國產(chǎn)替代需求增加,預計公司2018-2020年凈利潤分別為0.82億元、1.24億元、1.77億元,對應EPS分別為0.55元/股、0.84元/股、1.20元/股,按最新收盤價計算,對應PE分別為73、48、33倍。我們認為公司作為半導體后道測試設備國內(nèi)龍頭企業(yè),質地良好,維持公司“增持”評級。

風險提示:半導體行業(yè)發(fā)展不及預期;公司市場份額下降的風險;公司業(yè)績不及預期;募投項目投資進展不及預期;公司客戶過于集中的風險。

4.4、 晶盛機電:半導體業(yè)務表現(xiàn)亮眼,中標大單彰顯實力

半導體行業(yè)投資放量,單晶爐充分受益設備需求增長。受中國半導體產(chǎn)業(yè)投資跟進和世界經(jīng)濟復蘇影響,2017年全球半導體設備市場規(guī)模566.2億美元,較2016年大幅增長37.3%,增速為近7年之最;其中中國市場規(guī)模為82億美元,位列全球第三。而從半導體硅片端看,目前國內(nèi)半導體硅片供需缺口明顯,8英寸、12英寸硅片產(chǎn)能對應缺口為161.5萬片/月、277.3萬片/月。我們基于當前硅片廠投產(chǎn)計劃,測算國內(nèi)2018-2019年半導體單晶爐市場空間分別為38.28億元、72.58億元,半導體硅片制造設備規(guī)模為153億元、290億元。

半導體設備研發(fā)基礎深厚,中標4億元大單充分彰顯公司技術實力。公司早在2007年4月便研制國內(nèi)首臺全自動直拉式單晶硅生長爐(TDR80A-ZJS),并向有研半導體銷售;雖2011年至今公司單晶爐主要應用于光伏行業(yè),但半導體單晶爐研發(fā)持續(xù)推進。目前,公司研發(fā)TDR150A-ZJS并實現(xiàn)量產(chǎn),是國內(nèi)上市公司中唯一具備12英寸半導體硅片拉制能力的單晶爐供應商。除半導體單晶爐外,公司同時布局其他半導體晶圓制造設備,目前已實現(xiàn)8英寸和12英寸半導體單晶硅截斷機、滾磨機、截斷磨面復合加工一體機等具備國際先進水平設備的量產(chǎn)和銷售。2018年7月11日,公司中標中環(huán)領先半導體材料有限公司3.6億元半導體單晶爐訂單和0.42億元全自動半導體單晶硅切斷機、滾磨機訂單,此次中標彰顯了公司在半導體硅片制造設備領域的技術優(yōu)勢,有效提升了公司的市場影響力。

光伏設備銷售承壓,藍寶石材料有望成為新增長點。受“531光伏新政”影響,國內(nèi)光伏行業(yè)發(fā)展受限,公司5月31日后簽訂的光伏用單晶爐合同僅1單,交貨期也較正常期長。與光伏行業(yè)設備銷售承壓相比,公司藍寶石材料有望形成新增長點。公司募投“年產(chǎn)2500萬mm藍寶石晶棒生產(chǎn)和擴產(chǎn)項目”及“年產(chǎn)1200萬片藍寶石切磨拋項目”,一期于2018年6月30日投產(chǎn)、二期2019年底投產(chǎn),屆時藍寶石材料產(chǎn)能將完全釋放。根據(jù)前瞻產(chǎn)業(yè)研究院預計,2019年全球藍寶石晶棒市場規(guī)模將達到10.4億美元,考慮到智能手機及穿戴設備需求影響,到2020年全球藍寶石材料市場營收將達到341.5億元。產(chǎn)能釋放適逢市場增長高峰期,公司藍寶石業(yè)務增長可期。

研發(fā)成果豐富,股權激勵綁定核心研發(fā)人員。2017年公司研發(fā)投入占營收比重為8.46%,在主要單晶爐上市公司中位居首位,天龍光電和京運通分別為2.92%、3.09%。截止到2018年8月10日,公司合計有權專利221項,僅2017年公司申請專利就達85項。2018年SNEC太陽能展會上,公司展出全球首款“蜂巢”標準50太陽能單晶組件,新品功率為280-330w,相當于正常組件60片,同時降低非硅成本10%以上。此外,公司于2018年5月24日再次實行限制性股權激勵,本次激勵對象為包括公司副總裁和總工程師在內(nèi)的中層管理和核心技術人員合計117人,授予3.42萬股,將有效綁定公司核心研發(fā)人員,為公司進一步提升核心競爭力提供保障。

維持公司“買入”評級。預計公司2018-2020年凈利潤分別為6.16億元、7.58億元、9.66億元,對應EPS分別為0.48元/股、0.59元/股、0.75元/股,按照最新收盤價計算,對應PE分別為25、20、16倍。公司此次中標半導體設備大單充分彰顯公司行業(yè)地位,未來發(fā)展受益于半導體行業(yè)增長有望步入機遇期,維持公司“買入”評級。

風險提示:半導體行業(yè)發(fā)展不及預期;光伏用單晶爐業(yè)務不及預期;藍寶石業(yè)務發(fā)展不及預期;公司業(yè)績不及預期;募投項目投資進展不及預期。

5、風險提示

1)半導體設備需求不及預期;

2)國產(chǎn)半導體設備技術突破不及預期;

3)行業(yè)政策支持力度不及預期;

4)下游產(chǎn)能投產(chǎn)進度不及預期;

5)相關重點公司未來業(yè)績不及預期。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 傳感器
    +關注

    關注

    2542

    文章

    50300

    瀏覽量

    750265
  • 集成電路
    +關注

    關注

    5371

    文章

    11259

    瀏覽量

    359859
  • 半導體
    +關注

    關注

    334

    文章

    26669

    瀏覽量

    212946

原文標題:國產(chǎn)半導體設備迎來“芯”機遇?一文全覽26種半導體設備

文章出處:【微信號:icsmart,微信公眾號:芯智訊】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    半導體板塊領漲A股,企業(yè)業(yè)績回暖迎來新機遇

    在2024年的金秋十月,中國A股市場迎來了一場前所未有的狂歡。半導體板塊成為這場盛宴中的絕對主角,多家上市公司股價飆升,市值暴增,讓投資者和業(yè)界人士紛紛感嘆:“半導體企業(yè)這次是真的贏麻了!”
    的頭像 發(fā)表于 10-11 10:29 ?500次閱讀
    <b class='flag-5'>半導體</b>板塊領漲A股,<b class='flag-5'>企業(yè)</b>業(yè)績回暖迎來<b class='flag-5'>新機遇</b>

    芯片產(chǎn)業(yè)有望開啟新一輪繁榮周期,國產(chǎn)半導體設備如何乘風而起?

    展示會(以下簡稱:CSEAC 2024)上,來自各大分析機構及企業(yè)的演講嘉賓均對此趨勢進行了提及與闡述。 ? 半導體產(chǎn)業(yè)進入新周期,對半導體設備和材料等上游關鍵環(huán)節(jié)有巨大的帶動作用。因
    的頭像 發(fā)表于 09-27 00:08 ?3938次閱讀

    共話出海未來,共謀發(fā)展新篇—“生成式AI,解鎖出海新機遇”沙龍成功舉辦

    在業(yè)務布局、金融管理、技術創(chuàng)新等方面的出海實踐經(jīng)驗。同時探討AI技術如何賦能企業(yè)出海,助力中國企業(yè)在全球化浪潮中把握機遇、應對挑戰(zhàn),為中國企業(yè)
    的頭像 發(fā)表于 09-25 10:53 ?206次閱讀
    共話出海未來,共謀發(fā)展新篇—“生成式AI,解鎖出海<b class='flag-5'>新機遇</b>”沙龍成功舉辦

    長江存儲正加速轉向國產(chǎn)半導體設備

    面對國際環(huán)境的變化,中國半導體產(chǎn)業(yè)展現(xiàn)出強大的韌性與決心。自2022年美國實施對華先進半導體設備出口限制,并將3D NAND Flash領軍企業(yè)長江存儲納入實體清單以來,長江存儲非但沒
    的頭像 發(fā)表于 09-24 14:40 ?477次閱讀

    功率半導體設備供電解決方案

    隨著功率半導體器件的發(fā)展,半導體設備的發(fā)展也不斷興起。國家重要會議提出,到 2035 年要實現(xiàn)高水平科技自立自強。從半導體設備行業(yè)的
    的頭像 發(fā)表于 09-12 09:50 ?228次閱讀
    功率<b class='flag-5'>半導體</b><b class='flag-5'>設備</b>供電解決方案

    國產(chǎn)半導體新希望:Chiplet技術助力“彎道超車”!

    產(chǎn)業(yè)提供了一個“彎道超車”的絕佳機遇。本文將深入探討Chiplet技術的核心原理、優(yōu)勢、應用現(xiàn)狀以及未來發(fā)展趨勢,揭示其如何助力國產(chǎn)半導體產(chǎn)業(yè)實現(xiàn)技術突破和市場擴張
    的頭像 發(fā)表于 08-28 10:59 ?614次閱讀
    <b class='flag-5'>國產(chǎn)</b><b class='flag-5'>半導體</b>新希望:Chiplet技術助力“彎道超車”!

    華為歐洲游戲沙龍聚焦土耳其,共探市場新機遇

    近日,華為主辦的“領啟未來”游戲行業(yè)沙龍在歐洲的土耳其盛大舉行。此次沙龍以“歐洲出?!睘橹黝},華為游戲中心攜手點觸游戲、FunPlus、沐瞳、NEOCRAFT、網(wǎng)易游戲等業(yè)界翹楚,共同探討了歐洲游戲市場的新機遇與挑戰(zhàn)。
    的頭像 發(fā)表于 06-13 16:54 ?662次閱讀

    共熵服務中心拜訪香港科學園,共同探索河套國際組織深港合作新機遇

    今日,共熵服務中心(以下簡稱“我中心”)前往香港科學園進行拜訪交流,旨在深入探討河套國際組織與香港科學園合作的新機遇,攜手推動粵港澳大灣區(qū)的科技創(chuàng)新與產(chǎn)業(yè)協(xié)同發(fā)展。
    的頭像 發(fā)表于 04-17 09:13 ?337次閱讀

    晶華微電子榮膺2023年度電子元器件行業(yè)優(yōu)秀國產(chǎn)品牌企業(yè)

    。會議以“創(chuàng)新、互聯(lián)、芯生態(tài)”為主題,匯聚多家優(yōu)秀企業(yè)代表以及多名業(yè)界專家,共同探討半導體行業(yè)的發(fā)展前景,謀劃未來產(chǎn)業(yè)新機遇。 “華強電子網(wǎng)企業(yè)
    的頭像 發(fā)表于 04-15 18:39 ?813次閱讀
    晶華微電子榮膺2023年度電子元器件行業(yè)優(yōu)秀<b class='flag-5'>國產(chǎn)</b>品牌<b class='flag-5'>企業(yè)</b>獎

    中軟國際攜手華海智匯共同探索智慧ICT市場新機遇

    3月20日,中軟國際有限公司(以下簡稱中軟國際)與華海智匯技術有限公司(以下簡稱“華海智匯”)在天津進行業(yè)務交流,旨在共同探索智慧ICT市場新機遇,加強優(yōu)勢互補,實現(xiàn)合作共贏,為全球數(shù)字化發(fā)展貢獻更多力量。
    的頭像 發(fā)表于 03-25 11:23 ?533次閱讀

    關于半導體設備

    想問一下,半導體設備需要用到溫度傳感器的有那些設備,比如探針臺有沒有用到,具體要求是那些,
    發(fā)表于 03-08 17:04

    半導體產(chǎn)業(yè)拐點來臨,這些投資機遇不容錯過

    調(diào)整和升級,半導體行業(yè)的拐點已經(jīng)到來。那么,在即將到來的2024年,半導體行業(yè)將呈現(xiàn)哪些值得投資者關注的新機遇呢?
    的頭像 發(fā)表于 01-26 09:47 ?402次閱讀
    <b class='flag-5'>半導體</b>產(chǎn)業(yè)拐點來臨,這些投資<b class='flag-5'>機遇</b>不容錯過

    2023年半導體設備國產(chǎn)化成績單:亮點與期待

    企業(yè)半導體設備國產(chǎn)化的投入不斷加大,旨在打破國外技術壟斷,提升本土產(chǎn)業(yè)的自主創(chuàng)新能力和市場競爭力。本文將對2023年半導體
    的頭像 發(fā)表于 01-20 09:34 ?810次閱讀
    2023年<b class='flag-5'>半導體</b><b class='flag-5'>設備</b><b class='flag-5'>國產(chǎn)</b>化成績單:亮點與期待

    2024產(chǎn)業(yè)投資新機遇!珠海市金灣區(qū)產(chǎn)業(yè)投資環(huán)境推介會在深圳舉辦!

    2024產(chǎn)業(yè)投資新機遇!珠海市金灣區(qū)產(chǎn)業(yè)投資環(huán)境推介會在深圳舉辦! 10月26日上午,以“2024產(chǎn)業(yè)投資新機遇”為主題的珠海市金灣區(qū)產(chǎn)業(yè)投資環(huán)境精準推介會于深圳舉行。金灣區(qū)副區(qū)長馮風梅帶隊出席并作
    的頭像 發(fā)表于 11-12 17:47 ?762次閱讀
    2024產(chǎn)業(yè)投資<b class='flag-5'>新機遇</b>!珠海市金灣區(qū)產(chǎn)業(yè)投資環(huán)境推介會在深圳舉辦!

    三網(wǎng)融合推進電力貓迎來新機遇

    電子發(fā)燒友網(wǎng)站提供《三網(wǎng)融合推進電力貓迎來新機遇.doc》資料免費下載
    發(fā)表于 11-10 15:11 ?0次下載
    三網(wǎng)融合推進電力貓迎來<b class='flag-5'>新機遇</b>