0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

使用FPGA器件和USB通訊實(shí)現(xiàn)高速數(shù)據(jù)傳輸顯示系統(tǒng)的設(shè)計(jì)

電子設(shè)計(jì) ? 來(lái)源:郭婷 ? 作者:電子設(shè)計(jì) ? 2019-05-16 08:14 ? 次閱讀

1 概述

雷達(dá)數(shù)據(jù)形成分機(jī)具有數(shù)據(jù)量大、傳輸速率高、幀格式固定等特點(diǎn)。目前用于雷達(dá)數(shù)據(jù)傳輸?shù)囊话阌蠵CI總線和網(wǎng)卡,其中32位的PCI接口數(shù)據(jù)傳輸速率最大可以達(dá)到133Mbit/s,而目前廣泛采用的以太網(wǎng)卡的最大傳輸速率達(dá)到100Mbit/s,雖然這兩者的傳輸速度完全可以滿足要求,但是它們存在安裝繁瑣,受計(jì)算機(jī)插槽數(shù)量和地址、中斷資源限制等弱點(diǎn).USB(Universal Serial Bus)是一種通用串行總線,具有即插即用、可熱插拔,使用方便、成本低的特點(diǎn),其USB1.0能提供12Mbps的全速速率或1.5Mbps的低速速率,而USB2.0 則可以支持480Mbps的高速傳輸速率。因此,在高速數(shù)據(jù)傳輸、記錄及顯示系統(tǒng)中,考慮到需要方便靈活地與計(jì)算機(jī)通信,可采用USB通訊方式來(lái)對(duì)雷達(dá)數(shù)據(jù)形成分機(jī)進(jìn)行檢測(cè)。

使用FPGA器件和USB通訊實(shí)現(xiàn)高速數(shù)據(jù)傳輸顯示系統(tǒng)的設(shè)計(jì)

2 設(shè)計(jì)方案

整個(gè)系統(tǒng)主要由低電壓差分信號(hào)(LVDS)接口電路、基于FPGA的高速數(shù)據(jù)緩存、判斷數(shù)據(jù)錯(cuò)誤模塊、USB傳輸模塊和計(jì)算機(jī)組成,其系統(tǒng)結(jié)構(gòu)框圖如圖1所示。當(dāng)?shù)碗妷翰罘中盘?hào)接口電路把接收的雷達(dá)數(shù)據(jù)形成分機(jī)數(shù)據(jù)送入FPGA緩存后,該信息便可通過(guò)USB接口進(jìn)行傳輸、記錄并在計(jì)算機(jī)上顯示。該系統(tǒng)由低電壓差分信號(hào)驅(qū)動(dòng)芯片DS90LV31(發(fā)送器)、 DS90LV32(接收器)、控制芯片XC2V500、USB控制芯片C8051F320、總線驅(qū)動(dòng)器芯片74HC244組成。

3 系統(tǒng)功能及硬件實(shí)現(xiàn)

本系統(tǒng)主要由低電壓差分信號(hào)傳輸接口、FPGA功能模塊和USB傳輸模塊三部分構(gòu)成。

3.1 低電壓差分信號(hào)傳輸接口

低電壓差分信號(hào)LVDS(Low Voltage Differential Signal)標(biāo)準(zhǔn)是一種用于高速數(shù)據(jù)傳輸?shù)奈锢韺咏涌跇?biāo)準(zhǔn)。由于電壓信號(hào)擺幅較低,而且可提供電流模式驅(qū)動(dòng)輸出,因此LVDS 技術(shù)只產(chǎn)生極低的噪音,而且功耗也極低,甚至不論頻率高低,功耗幾乎不變;此外,由于LVDS是以差分方式傳送數(shù)據(jù),因此不易受共模噪音影響。由于具有超高速(1.4Gb/s)串行傳輸、低功耗及低電磁輻射的特性,低電壓差分信號(hào)傳輸是在銅介質(zhì)上實(shí)現(xiàn)千兆位級(jí)高速通信的優(yōu)先方案,可用于服務(wù)器、可堆壘集線器、無(wú)線基站、ATM交換機(jī)及高分辨率顯示等,也可用于通信系統(tǒng)的設(shè)計(jì)。美國(guó)國(guó)家半導(dǎo)體公司的DS90LV31和DS90LV32是高性能的CMOS低功耗、低電壓差分信號(hào)驅(qū)動(dòng)器,采用3.3V供電,可支持大于400Mbps的數(shù)據(jù)率,滿足ANSI/TIA/EIA-644標(biāo)準(zhǔn)。其中DS90LV31可實(shí)現(xiàn)四路低電壓TTL信號(hào)轉(zhuǎn)低電壓差分信號(hào),而DS90LV32則可實(shí)現(xiàn)四路低電壓差分信號(hào)轉(zhuǎn)低電壓TTL信號(hào)。

3.2 FPGA功能模塊

本設(shè)計(jì)采用Xilinx公司的FPGA Field Pro-grammable gate array,現(xiàn)場(chǎng)可編程門(mén)陣列 芯片XC2V500來(lái)實(shí)現(xiàn)高速數(shù)據(jù)緩存.XC2V500屬于Vir-tex-II系列,是一種高密度、高性能的FPGA.XC2V500可通過(guò)JTAG接口實(shí)現(xiàn)在線編程;它采用0.15m和0.12m混合工藝設(shè)計(jì);內(nèi)核電壓為1.5V,低功耗;可支持多種接口標(biāo)準(zhǔn);內(nèi)部時(shí)鐘頻率可達(dá)420MHz;采用專門(mén)的在系統(tǒng)可編程PROM芯片18V04進(jìn)行配置。

使用FPGA器件和USB通訊實(shí)現(xiàn)高速數(shù)據(jù)傳輸顯示系統(tǒng)的設(shè)計(jì)

FPGA的主要功能是高速數(shù)據(jù)緩存及判斷傳輸數(shù)據(jù)幀頭錯(cuò)誤、幀長(zhǎng)度錯(cuò)誤,具體說(shuō)明如下:

(1)高速數(shù)據(jù)緩存

雷達(dá)數(shù)據(jù)形成分機(jī)以10MHz的頻率,每秒發(fā)送2000~3000幀、每幀6400字節(jié)的數(shù)據(jù),將如此高速、大量的數(shù)據(jù)進(jìn)行準(zhǔn)確無(wú)誤的緩存是本系統(tǒng)的技術(shù)難點(diǎn)之一。本方案將數(shù)據(jù)形成分機(jī)送過(guò)來(lái)的數(shù)據(jù)先放在FPGA的異步FIFO?First In First Out 存儲(chǔ)器里,F(xiàn)IFO存儲(chǔ)器同時(shí)要有與數(shù)據(jù)形成分機(jī)及USB的接口,且兩接口操作互不干涉,以提高數(shù)據(jù)吞吐率。根據(jù)FIFO存儲(chǔ)器的Full和Empty標(biāo)志可判斷存儲(chǔ)器全滿或空.FIFO存儲(chǔ)器的特點(diǎn)是:不需要地址尋址,可簡(jiǎn)化控制信號(hào);數(shù)據(jù)寫(xiě)入和讀出不依賴于數(shù)據(jù)速率,可以慢寫(xiě)快讀、也可快寫(xiě)滿讀;進(jìn)行數(shù)據(jù)寬度和存儲(chǔ)深度的擴(kuò)展不會(huì)增加額外的時(shí)間延遲,因此滿足了上述要求。

數(shù)據(jù)形成分機(jī)發(fā)送的數(shù)據(jù)包括16 Bit并行數(shù)據(jù)信號(hào)Data、數(shù)據(jù)有效標(biāo)志信號(hào)Flag、時(shí)鐘信號(hào)Clk及復(fù)位信號(hào)Reset.圖2為數(shù)據(jù)形成分機(jī)與FPGA數(shù)據(jù)傳輸接口時(shí)序圖。其中Reset為清零信號(hào),除T1時(shí)間外均保持低電平;Flag信號(hào)高電平持續(xù)時(shí)間為320μs;Clk為10MHz的讀時(shí)鐘.FPGA接收到數(shù)據(jù)形成分機(jī)發(fā)來(lái)的數(shù)據(jù)有效標(biāo)志信號(hào)Flag后,將首先確定數(shù)據(jù)幀頭,然后以10MHz的時(shí)鐘頻率Clk讀16 Bit并行數(shù)據(jù)信號(hào)Data并存儲(chǔ)在FIFO存儲(chǔ)器中,以等待USB控制芯片取走。

(2)判斷幀數(shù)據(jù)錯(cuò)誤

數(shù)據(jù)形成分機(jī)發(fā)送過(guò)來(lái)的每幀數(shù)據(jù)的幀頭、幀長(zhǎng)度是固定的.FPGA對(duì)接收的每一幀數(shù)據(jù)都需要判斷幀頭及幀長(zhǎng)度是否錯(cuò)誤。每出現(xiàn)一次錯(cuò)誤,F(xiàn)P-GA會(huì)對(duì)其進(jìn)行一次累加,對(duì)應(yīng)產(chǎn)生一個(gè)脈沖,然后將其用74HC244驅(qū)動(dòng)后分別接LED顯示燈,就可以二進(jìn)制方式顯示錯(cuò)誤次數(shù)。

3.3 USB傳輸模塊

通過(guò)USB傳輸模塊可把存在FIFO存儲(chǔ)器里的數(shù)據(jù)傳送給計(jì)算機(jī)并記錄下來(lái),本設(shè)計(jì)采用Cygnal公司的C8051F系列USB控制芯片C8051F320.C8051F320是將微控制器和USB控制器集成在一起的芯片,完全符合USB1.1規(guī)范,最大傳輸速度可達(dá)12Mbps.C0801F320的運(yùn)行指令采用流水線結(jié)構(gòu),機(jī)器周期由標(biāo)準(zhǔn)8051的12個(gè)系統(tǒng)時(shí)鐘周期降為一個(gè)系統(tǒng)時(shí)鐘周期,處理能力大大提高。它還內(nèi)嵌JTAG調(diào)試電路,可在系統(tǒng)編程和調(diào)試等.FPGA與C8051F320之間的具體連接控制框圖如圖3所示。

使用FPGA器件和USB通訊實(shí)現(xiàn)高速數(shù)據(jù)傳輸顯示系統(tǒng)的設(shè)計(jì)

當(dāng)PC機(jī)向C8051F320發(fā)出接收數(shù)據(jù)的命令后,C8051F320便可給FPGA發(fā)控制信號(hào),以使FPGA在下一幀數(shù)據(jù)開(kāi)始時(shí)打開(kāi)FIFO寫(xiě)使能;數(shù)據(jù)形成分機(jī)通過(guò)寫(xiě)操作不斷將數(shù)據(jù)存入FIFO存儲(chǔ)器。當(dāng)FIFO存儲(chǔ)器中的數(shù)據(jù)達(dá)到一幀時(shí),F(xiàn)ull標(biāo)志有效,向C8051F320請(qǐng)求中斷,并將寫(xiě)使能關(guān)閉,讀使能打開(kāi);C8051F320響應(yīng)中斷后將以CLK時(shí)鐘頻率讀FI-FO存儲(chǔ)器中的數(shù)據(jù)DATA;每讀完一幀,F(xiàn)IFO存儲(chǔ)器的讀使能關(guān)閉,寫(xiě)使能打開(kāi),同時(shí)接收下一幀數(shù)據(jù),直到PC機(jī)發(fā)停止命令或接收完要求的幀數(shù)。

4 軟件設(shè)計(jì)

C8051F320中的8051內(nèi)核不但與MCS-51指令完全兼容,而且Cygnal公司的工具包還為其提供了基于Windows的USB總線驅(qū)動(dòng)程序和功能驅(qū)動(dòng)程序,這樣,用戶就可以從煩瑣的驅(qū)動(dòng)程序開(kāi)發(fā)中解脫出來(lái),從而大大減少開(kāi)發(fā)時(shí)間、風(fēng)險(xiǎn)和成本。

本系統(tǒng)軟件設(shè)計(jì)由兩部分組成:動(dòng)態(tài)鏈接庫(kù)和應(yīng)用程序。動(dòng)態(tài)鏈接庫(kù)負(fù)責(zé)與內(nèi)核的USB功能驅(qū)動(dòng)程序通信并接收應(yīng)用程序的各種操作請(qǐng)求,而應(yīng)用程序則負(fù)責(zé)對(duì)傳輸數(shù)據(jù)進(jìn)行記錄、顯示并實(shí)時(shí)顯示數(shù)據(jù)傳輸?shù)臓顟B(tài)。

動(dòng)態(tài)鏈接庫(kù)的工作原理如下:當(dāng)它收到應(yīng)用程序的數(shù)據(jù)傳輸請(qǐng)求后,會(huì)創(chuàng)建兩個(gè)線程:數(shù)據(jù)傳輸線程和記錄、顯示線程。其中數(shù)據(jù)傳輸線程負(fù)責(zé)將數(shù)據(jù)寫(xiě)到應(yīng)用程序要提交的內(nèi)存;而記錄、顯示線程則負(fù)責(zé)給應(yīng)用程序發(fā)送記錄和顯示消息。當(dāng)應(yīng)用程序接收到此消息后,便從它提交的內(nèi)存中讀取數(shù)據(jù)并存盤(pán)和顯示。

用戶態(tài)應(yīng)用程序的主要功能是開(kāi)啟或關(guān)閉USB設(shè)備、檢測(cè)USB設(shè)備、設(shè)置數(shù)據(jù)傳輸幀數(shù)、通過(guò)USB接口傳輸、記錄并顯示數(shù)據(jù)、實(shí)時(shí)顯示數(shù)據(jù)傳輸?shù)臓顟B(tài)包括幀數(shù)、字節(jié)數(shù)等,其應(yīng)用程序主流程圖如圖4所示。

使用FPGA器件和USB通訊實(shí)現(xiàn)高速數(shù)據(jù)傳輸顯示系統(tǒng)的設(shè)計(jì)

本設(shè)計(jì)的應(yīng)用軟件基于Windows系統(tǒng),并采用VC作為軟件開(kāi)發(fā)環(huán)境,這樣可以利用現(xiàn)有的軟件資源來(lái)縮短軟件開(kāi)發(fā)周期,同時(shí)可提供一個(gè)友好、美觀清晰、操作簡(jiǎn)單的圖形使用界面。訪問(wèn)USB接口時(shí),調(diào)用Windows API函數(shù)能及時(shí)方便地與系統(tǒng)的USB控制芯片進(jìn)行通信,并且可以將傳輸來(lái)的數(shù)據(jù)保存并顯示在計(jì)算機(jī)上。

5 結(jié)束語(yǔ)

本文設(shè)計(jì)的基于FPGA和USB的高速數(shù)據(jù)傳輸、記錄系統(tǒng)不但具有體積小、功耗低、成本低、使用靈活方便、硬件電路簡(jiǎn)單、可在線更新等特點(diǎn);而且還充分利用了微機(jī)的資源,因而易開(kāi)發(fā)且擴(kuò)展性好。目前,本系統(tǒng)已投入實(shí)際應(yīng)用之中,基本能達(dá)到高速數(shù)據(jù)傳輸、記錄及顯示的要求,具有較高的實(shí)用價(jià)值。


聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600723
  • usb
    usb
    +關(guān)注

    關(guān)注

    60

    文章

    7856

    瀏覽量

    263384
  • WINDOWS
    +關(guān)注

    關(guān)注

    3

    文章

    3510

    瀏覽量

    88230
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    基于FPGA高速LVDS數(shù)據(jù)傳輸

    高速LVDS數(shù)據(jù)傳輸方案和協(xié)議基于FPGA高速LVDS數(shù)據(jù)傳輸本人在北京工作6年,從事FPGA
    發(fā)表于 03-01 18:47

    基于FPGA+USB3.0接口的高速數(shù)據(jù)傳輸系統(tǒng)設(shè)計(jì)

    滿足設(shè)計(jì)高速數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì)要求,而USB3.0技術(shù)的出現(xiàn)無(wú)疑解決了上述窘困。因此,本設(shè)計(jì)選用了USB3.0芯片CYUSB3014作為
    發(fā)表于 08-09 14:18

    高速數(shù)據(jù)傳輸PCB板設(shè)計(jì)的要點(diǎn)

    USB通用串行總線(Universal Serial Bus),目前我們所說(shuō)的USB一般都是指USB2.0,USB2.0接口是目前許多高速
    發(fā)表于 05-27 07:32

    如何使用FPGA器件USB通訊實(shí)現(xiàn)高速數(shù)據(jù)傳輸顯示系統(tǒng)的設(shè)計(jì)

    本文設(shè)計(jì)的基于FPGAUSB高速數(shù)據(jù)傳輸、記錄系統(tǒng)不但具有體積小、功耗低、成本低、使用靈活方便、硬件電路簡(jiǎn)單、可在線更新等特點(diǎn);而且還充
    發(fā)表于 04-30 06:50

    怎么實(shí)現(xiàn)基于FPGA的具有流量控制機(jī)制的高速串行數(shù)據(jù)傳輸系統(tǒng)設(shè)計(jì)?

    本文介紹了基于Xilinx Virtex-6 FPGA高速串行數(shù)據(jù)傳輸系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)系統(tǒng)
    發(fā)表于 05-25 06:45

    基于FPGA高速實(shí)時(shí)數(shù)據(jù)傳輸系統(tǒng)設(shè)計(jì)方案

    DVI[1]接口標(biāo)準(zhǔn)作為新一代的數(shù)字顯示技術(shù)通訊標(biāo)準(zhǔn),以全數(shù)字化的數(shù)據(jù)碼流在傳輸信道上傳輸,本文針對(duì)DVI 接口標(biāo)準(zhǔn)提出了一種基于
    發(fā)表于 09-22 10:12 ?15次下載

    基于FPGAUSB高速數(shù)據(jù)傳輸、記錄及顯示系統(tǒng)

    摘要:提出了一種基于FPGAUSB高速數(shù)據(jù)傳輸、記錄及顯示系統(tǒng)的設(shè)計(jì)方案,并對(duì)其中的低電
    發(fā)表于 04-16 21:36 ?640次閱讀
    基于<b class='flag-5'>FPGA</b>和<b class='flag-5'>USB</b>的<b class='flag-5'>高速</b><b class='flag-5'>數(shù)據(jù)傳輸</b>、記錄及<b class='flag-5'>顯示</b><b class='flag-5'>系統(tǒng)</b>

    基于FPDP的高速數(shù)據(jù)傳輸系統(tǒng)設(shè)計(jì)

    基于FPDP的高速數(shù)據(jù)傳輸系統(tǒng)設(shè)計(jì) 隨著電子技術(shù)的高速發(fā)展,越來(lái)越多的信號(hào)處理系統(tǒng),需要高速
    發(fā)表于 12-01 09:41 ?2130次閱讀
    基于FPDP的<b class='flag-5'>高速</b><b class='flag-5'>數(shù)據(jù)傳輸</b><b class='flag-5'>系統(tǒng)</b>設(shè)計(jì)

    基于USB2.0的紅外數(shù)據(jù)傳輸系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn)

    基于USB2.0的紅外數(shù)據(jù)傳輸系統(tǒng)的設(shè)計(jì)與實(shí)現(xiàn) 摘要:針對(duì)有線傳輸的缺點(diǎn)或不足,為避免經(jīng)常插拔接口造成測(cè)試儀器損壞,設(shè)計(jì)基于
    發(fā)表于 03-13 09:56 ?1564次閱讀
    基于<b class='flag-5'>USB</b>2.0的紅外<b class='flag-5'>數(shù)據(jù)傳輸</b><b class='flag-5'>系統(tǒng)</b>的設(shè)計(jì)與<b class='flag-5'>實(shí)現(xiàn)</b>

    USB2.0+FPGA實(shí)現(xiàn)多路數(shù)據(jù)傳輸系統(tǒng)

    基于USB2.0 的FIFO 方式, 利用FPGA 同步實(shí)現(xiàn)三個(gè)通道, 不同傳輸率的數(shù)據(jù)的發(fā)送和采集, 詳細(xì)說(shuō)明多路
    發(fā)表于 09-13 17:22 ?66次下載
    <b class='flag-5'>USB2.0+FPGA</b><b class='flag-5'>實(shí)現(xiàn)</b>多路<b class='flag-5'>數(shù)據(jù)傳輸</b><b class='flag-5'>系統(tǒng)</b>

    SOPC實(shí)現(xiàn)的PCI總線高速數(shù)據(jù)傳輸系統(tǒng)

    本文提出一種采用可編程片上系統(tǒng)SOPC實(shí)現(xiàn)偵察接收機(jī)PCI總線高速數(shù)據(jù)傳輸系統(tǒng)的設(shè)計(jì)方案。
    發(fā)表于 02-10 11:20 ?1452次閱讀
    SOPC<b class='flag-5'>實(shí)現(xiàn)</b>的PCI總線<b class='flag-5'>高速</b><b class='flag-5'>數(shù)據(jù)傳輸</b><b class='flag-5'>系統(tǒng)</b>

    基于DSP和USB2_0高速數(shù)據(jù)傳輸系統(tǒng)的設(shè)計(jì)

    基于DSP和USB2_0高速數(shù)據(jù)傳輸系統(tǒng)的設(shè)計(jì)
    發(fā)表于 10-19 14:44 ?7次下載
    基于DSP和<b class='flag-5'>USB</b>2_0<b class='flag-5'>高速</b><b class='flag-5'>數(shù)據(jù)傳輸</b><b class='flag-5'>系統(tǒng)</b>的設(shè)計(jì)

    基于FPGA片上集成的高速FIFO實(shí)現(xiàn)緩存以及同步數(shù)據(jù)傳輸的應(yīng)用

    上集成的高速FIFO實(shí)現(xiàn)采集數(shù)據(jù)高速緩存并通過(guò)對(duì)高速FIFO的讀寫(xiě)操作實(shí)現(xiàn)總線同步
    發(fā)表于 07-12 09:06 ?5143次閱讀
    基于<b class='flag-5'>FPGA</b>片上集成的<b class='flag-5'>高速</b>FIFO<b class='flag-5'>實(shí)現(xiàn)</b>緩存以及同步<b class='flag-5'>數(shù)據(jù)傳輸</b>的應(yīng)用

    基于DSP+FPGA+ARM的架構(gòu)實(shí)現(xiàn)高速多路數(shù)據(jù)傳輸系統(tǒng)的設(shè)計(jì)

    隨著集成電路技術(shù)的發(fā)展,FPGA和DSP以及ARM以其體積小、速度快、功耗低、設(shè)計(jì)靈活、利于系統(tǒng)集成、擴(kuò)展升級(jí)等優(yōu)點(diǎn),被廣泛地應(yīng)用于高速數(shù)字信號(hào)傳輸
    的頭像 發(fā)表于 04-24 09:04 ?5180次閱讀
    基于DSP+<b class='flag-5'>FPGA</b>+ARM的架構(gòu)<b class='flag-5'>實(shí)現(xiàn)</b><b class='flag-5'>高速</b>多路<b class='flag-5'>數(shù)據(jù)傳輸</b><b class='flag-5'>系統(tǒng)</b>的設(shè)計(jì)

    如何使用FPGA器件USB通訊實(shí)現(xiàn)高速數(shù)據(jù)傳輸顯示系統(tǒng)的設(shè)計(jì)

    點(diǎn)擊上方 藍(lán)字 關(guān)注我們 本文設(shè)計(jì)的基于FPGAUSB高速數(shù)據(jù)傳輸、記錄系統(tǒng)不但具有體積小、功耗低、成本低、使用靈活方便、硬件電路簡(jiǎn)單、
    的頭像 發(fā)表于 09-20 10:00 ?2782次閱讀
    如何使用<b class='flag-5'>FPGA</b><b class='flag-5'>器件</b>和<b class='flag-5'>USB</b><b class='flag-5'>通訊實(shí)現(xiàn)</b><b class='flag-5'>高速</b><b class='flag-5'>數(shù)據(jù)傳輸</b><b class='flag-5'>顯示</b><b class='flag-5'>系統(tǒng)</b>的設(shè)計(jì)