0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

利用FPGA系列的DDR能力解決DDR存儲器的接口設(shè)計(jì)

電子設(shè)計(jì) ? 來源:郭婷 ? 作者:電子設(shè)計(jì) ? 2019-06-11 08:04 ? 次閱讀

由于線路速率繼續(xù)增長,DDR SDRAM網(wǎng)絡(luò)應(yīng)用中正在被廣泛地采用。不斷增加的系統(tǒng)帶寬要求正在推動(dòng)存儲器接口速度提高,而成本仍不斷壓低。LatticeEC FPGA系列的專門而靈活的DDR能力使設(shè)計(jì)者擁有滿足下一代存儲器控制器需求的低成本解決方案。

存儲器已廣泛地應(yīng)用于當(dāng)今的電子系統(tǒng)。由于系統(tǒng)帶寬的不斷增加,存儲器技術(shù)針對更高的速度和性能進(jìn)行了優(yōu)化。結(jié)果,下一代存儲器接口的設(shè)計(jì)變得越來越具有挑戰(zhàn)性。在諸如FPGA的可編程器件中實(shí)現(xiàn)高速、高效的存儲器接口對于設(shè)計(jì)者來說一直是一個(gè)主要的挑戰(zhàn)。以往,只有少數(shù)FPGA持能可靠地與下一代高速器件接口的構(gòu)建模塊,這些FPGA通常是高端的昂貴器件。不過,現(xiàn)在LatticeEC FPGA系列也提供在低成本FPGA結(jié)構(gòu)中實(shí)現(xiàn)下一代DDR2、QDR2以及RLDRAM控制器所需的構(gòu)建模塊、高速FPGA結(jié)構(gòu)、時(shí)鐘管理資源和I/O結(jié)構(gòu)。

存儲器應(yīng)用

存儲器是各種系統(tǒng)的組成部份之一,不同的應(yīng)用有不同的存儲器要求。對于網(wǎng)絡(luò)基礎(chǔ)設(shè)施應(yīng)用,所需的存儲器通常為高密度、高性能和高帶寬,并具有高可靠性;在無線應(yīng)用中,特別是手機(jī)和移動(dòng)設(shè)備,低功率存儲器是很重要的;而對于基站應(yīng)用,高性能很關(guān)鍵。寬帶應(yīng)用要求存儲器在成本和性能方面有很好的平衡;計(jì)算與消費(fèi)類應(yīng)用則需要諸如DRAM模塊、閃存卡和其它對成本很敏感的存儲器解決方案,同時(shí)要滿足這些應(yīng)用的性能目標(biāo)。本文主要討論在網(wǎng)絡(luò)和通信中的存儲器應(yīng)用。

網(wǎng)絡(luò)和通信應(yīng)用需要大的、快速存儲器,完成從小的地址查找到流量修整/監(jiān)控再到緩沖器管理等各種任務(wù)。用于消費(fèi)應(yīng)用的價(jià)格便宜、成熟的FMP和EDO DRAM通常不適用,因?yàn)樗捎昧溯^慢的異步方式,且需要時(shí)序精確的命令信號來初始化數(shù)據(jù)轉(zhuǎn)移。網(wǎng)絡(luò)系統(tǒng)架構(gòu)師一般轉(zhuǎn)向采用靜態(tài)RAM,解決時(shí)延問題,但這導(dǎo)致較高的成本。通過去除讀和寫周期間的等待狀態(tài)和空閑周期,ZBT SRAM被廣泛地用于改進(jìn)存儲器帶寬。

最近,系統(tǒng)架構(gòu)師在網(wǎng)絡(luò)基礎(chǔ)設(shè)施應(yīng)用中轉(zhuǎn)向使用SDRAM,以便減少時(shí)延、滿足低成本要求。上述任務(wù)的每一個(gè)都伴隨一組獨(dú)特的需求。例如,低的和中等帶寬的應(yīng)用要求低時(shí)延的存儲器,因此ZBT SRAM是理想的。

利用FPGA系列的DDR能力解決DDR存儲器的接口設(shè)計(jì)

圖1:網(wǎng)絡(luò)中的存儲器。不同的功能需要不同的方法。

表1:為用于高速網(wǎng)絡(luò)應(yīng)用的存儲器綜合比較。

利用FPGA系列的DDR能力解決DDR存儲器的接口設(shè)計(jì)

圖1顯示了典型的網(wǎng)絡(luò)架構(gòu)。在10Gbps,一個(gè)讀寫比為1000:1的地址查找可很容易地在DDR SRAM中得到處理。連接列表管理、流量修整、統(tǒng)計(jì)收集任務(wù)通常具有平衡的1:1讀寫比,需要較高性能的QDR SRAM。另一方面,較大的緩沖存儲器一般在DDR SDRAM中實(shí)現(xiàn)。作為DRAM的替代,SDRAM同步存儲器訪問的處理器時(shí)鐘用于快速數(shù)據(jù)傳輸。達(dá)到快速是因?yàn)镾DRAM允許存儲器的一個(gè)塊被存取,而另一個(gè)塊準(zhǔn)備被存取。與DRAM不同,SDRAM采用流動(dòng)電流而不是存儲電荷,除去了連續(xù)刷新的需要。

利用FPGA系列的DDR能力解決DDR存儲器的接口設(shè)計(jì)

圖2:LatticeEC FPGA中的專用DQS電路。

兩個(gè)新的競爭者進(jìn)入了高精度存儲器舞臺??焖僦芷陔S機(jī)存取存儲器(FCRAM)改進(jìn)了性能,它采用了流水線操作和隱蔽的預(yù)充電技術(shù)以減少隨機(jī)存取周期時(shí)間,高度分段的存儲器核減少了功耗。存儲器核分段為較小的陣列,這樣數(shù)據(jù)可以被很快地存取并改進(jìn)執(zhí)行時(shí)間。這些特征使得FCRAM被理想地用作緩沖存儲器,用于諸如交換、路由和網(wǎng)絡(luò)服務(wù)器等的高速網(wǎng)絡(luò)應(yīng)用中。時(shí)延減少的DRAM(RLDRAM)提供SRAM類型的接口以及非多路復(fù)用的尋址。RLDRAM II技術(shù)提供最小時(shí)延并可減少行周期時(shí)間,這些特征很適用于要求關(guān)鍵響應(yīng)時(shí)間和快速隨機(jī)存取的應(yīng)用,例如下一代10Gbps以及更加高速的網(wǎng)絡(luò)應(yīng)用。

存儲器控制器的挑戰(zhàn)

目前存儲器接口經(jīng)常要求時(shí)鐘速度超過200MHz以滿足線卡和交換卡的吞吐量要求,這是FPGA架構(gòu)的主要挑戰(zhàn)。PLL是基本的允許控制時(shí)鐘數(shù)據(jù)關(guān)系的部件。

下一代存儲器控制器工作在HSTL或SSTL電壓。低電壓電平的擺動(dòng)是需要的,以便??持存儲器和存儲器控制器的輸入輸出的高速數(shù)據(jù)操作。對于高速SRAM存儲器來說,HSTL是實(shí)際的I/O標(biāo)準(zhǔn),而對于高速DDR SRAM存儲器,SSTL是實(shí)際的I/O標(biāo)準(zhǔn)。

高速差分I/O緩沖器和專用電路的組合能夠在高帶寬下進(jìn)行無縫讀寫操作,傳統(tǒng)上這屬于高級FPGA的領(lǐng)域。LatticeEC FPGA改變了那個(gè)慣例,通過低成本FPGA架構(gòu)實(shí)現(xiàn)了高帶寬存儲器控制器。

表2:為用于高速網(wǎng)絡(luò)應(yīng)用的存儲器綜合比較。

利用FPGA系列的DDR能力解決DDR存儲器的接口設(shè)計(jì)

DDR存儲器控制器的支持

實(shí)現(xiàn)高性能的DDR存儲器需要在輸入端的讀操作和在輸出端的寫操作有專用的DDR寄存器結(jié)構(gòu),LatticeEC提供這個(gè)能力。除這些寄存器外,EC器件有兩個(gè)單元簡化用于讀操作的輸入結(jié)構(gòu)設(shè)計(jì),這兩個(gè)單元是DQS延時(shí)塊和極性控制邏輯。這兩個(gè)塊對于實(shí)現(xiàn)可靠的高速DDR SDRAM控制器十分關(guān)鍵。由于DQS邏輯的復(fù)雜性,用FPGA實(shí)現(xiàn)高速存儲器控制器時(shí),設(shè)計(jì)者會遇到問題。LatticeEC為設(shè)計(jì)者提供這些專用塊,能夠緩解這個(gè)問題。

DLL校準(zhǔn)DQS延時(shí)塊

源同步接口通常要求調(diào)整輸入時(shí)鐘,以便用輸入寄存器正確捕獲數(shù)據(jù)。對大多數(shù)接口而言,PLL被用于這種調(diào)整。然而對于DDR存儲器,稱為DQS的時(shí)鐘是受約束的,因此這種方法不可行。在DDR存儲器接口,DQS與主時(shí)鐘的關(guān)系會有變化,這是由于PCB上走線的長度和使用的存儲器所引起的。

利用FPGA系列的DDR能力解決DDR存儲器的接口設(shè)計(jì)

圖3:DLL校準(zhǔn)總線和DQS/DQS轉(zhuǎn)移分布。

如圖2所示,Lattice DQS電路包括一個(gè)自動(dòng)時(shí)鐘轉(zhuǎn)移電路,用以簡化存儲器接口設(shè)計(jì)和保證穩(wěn)健的操作。此外,DQS延時(shí)塊還提供用于DDR存儲器接口所需的時(shí)鐘對列。來自焊盤的DQS信號通過DQS延時(shí)單元送入專用的布線資源。DQS信號也送入極性控制邏輯,用于控制輸入寄存器塊中連至同步寄存器的時(shí)鐘極性。

專用DQS延時(shí)塊的溫度、電壓和工藝變化由一組校準(zhǔn)信號(6位寬的總線)進(jìn)行補(bǔ)償,它們來自器件兩側(cè)的兩個(gè)DLL。每個(gè)DLL補(bǔ)償器件一半的DQS延時(shí),如圖3所示。DLL用系統(tǒng)時(shí)鐘和專用反饋環(huán)來補(bǔ)償。這是一個(gè)重要的結(jié)構(gòu)特征,因?yàn)檫@個(gè)器件不會受到通常其它FPGA嚴(yán)格的I/O布局要求的牽制。

極性控制邏輯

對于典型的DDR存儲器設(shè)計(jì),延時(shí)DQS選通脈沖和內(nèi)部系統(tǒng)時(shí)鐘(在讀周期)之間的相位關(guān)系是未知的。Lattice-EC器件含有專用電路在這些域間傳遞數(shù)據(jù)。為了防止建立和保持時(shí)間發(fā)生變化,即DQS延時(shí)和系統(tǒng)時(shí)鐘間的域傳遞,它使用了一個(gè)時(shí)鐘極性選擇器,這改變了鎖存在輸入寄存器塊中同步寄存器中的數(shù)據(jù)的邊沿。這需要測定在每個(gè)讀周期的起始時(shí)刻有正確的時(shí)鐘極性。DDR存儲器讀操作前, DQS處于三態(tài)(由端接上拉)。起始時(shí)刻DDR存儲器驅(qū)動(dòng)DQS為低電平,一個(gè)專用電路用于檢測這個(gè)傳遞,這個(gè)信號用來控制至同步寄存器的時(shí)鐘極性。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600723
  • 存儲器
    +關(guān)注

    關(guān)注

    38

    文章

    7408

    瀏覽量

    163427
  • 服務(wù)器
    +關(guān)注

    關(guān)注

    12

    文章

    8873

    瀏覽量

    84977
收藏 人收藏

    評論

    相關(guān)推薦

    基于Xilinx 和FPGADDR2 SDRAM存儲器接口

      本白皮書討論各種存儲器接口控制設(shè)計(jì)所面臨的挑戰(zhàn)和 Xilinx 的解決方案,同時(shí)也說明如何使用 Xilinx軟件工具和經(jīng)過硬件驗(yàn)證的參考設(shè)計(jì)來為您自己的應(yīng)用(從低成本的 DDR
    發(fā)表于 08-18 10:50 ?3394次閱讀
    基于Xilinx 和<b class='flag-5'>FPGA</b>的<b class='flag-5'>DDR</b>2 SDRAM<b class='flag-5'>存儲器</b><b class='flag-5'>接口</b>

    基于Xilinx FPGADDR2 SDRAM存儲器接口

    基于Xilinx FPGADDR2 SDRAM存儲器接口
    發(fā)表于 08-20 18:55

    DDR3存儲器接口控制IP助力數(shù)據(jù)處理應(yīng)用

    在數(shù)據(jù)處理應(yīng)用的設(shè)計(jì)中,如本文中視頻處理的例子,當(dāng)存儲器具有足夠的帶寬時(shí),可以充分利用FPGA的并行處理能力的優(yōu)勢。DDR3
    發(fā)表于 05-24 05:00

    基于DDR3存儲器的數(shù)據(jù)處理應(yīng)用

    在數(shù)據(jù)處理應(yīng)用的設(shè)計(jì)中,如本文中視頻處理的例子,當(dāng)存儲器具有足夠的帶寬時(shí),可以充分利用FPGA的并行處理能力的優(yōu)勢。DDR3
    發(fā)表于 05-27 05:00

    如何用中檔FPGA實(shí)現(xiàn)高速DDR3存儲器控制?

    的工作時(shí)鐘頻率。然而,設(shè)計(jì)至DDR3的接口也變得更具挑戰(zhàn)性。在FPGA中實(shí)現(xiàn)高速、高效率的DDR3控制是一項(xiàng)艱巨的任務(wù)。直到最近,只有少數(shù)
    發(fā)表于 08-09 07:42

    如何去實(shí)現(xiàn)高速DDR3存儲器控制?

    DDR3存儲器控制面臨的挑戰(zhàn)有哪些?如何用一個(gè)特定的FPGA系列LatticeECP3實(shí)現(xiàn)DDR
    發(fā)表于 04-30 07:26

    利用Virtex-5 FPGA迎接存儲器接口設(shè)計(jì)挑戰(zhàn)

    利用Virtex-5 FPGA迎接存儲器接口設(shè)計(jì)挑戰(zhàn):在不支持新的接口協(xié)議時(shí),存儲器
    發(fā)表于 04-25 10:28 ?57次下載

    DDR3存儲器接口控制IP核在視頻數(shù)據(jù)處理中的應(yīng)用

     DDR3存儲器系統(tǒng)可以大大提升各種數(shù)據(jù)處理應(yīng)用的性能。然而,和過去幾代(DDRDDR2)器件相比,DDR3
    發(fā)表于 07-16 10:46 ?1818次閱讀
    <b class='flag-5'>DDR</b>3<b class='flag-5'>存儲器</b><b class='flag-5'>接口</b>控制<b class='flag-5'>器</b>IP核在視頻數(shù)據(jù)處理中的應(yīng)用

    基于FPGADDR2 SDRAM存儲器用戶接口設(shè)計(jì)

    使用功能強(qiáng)大的FPGA來實(shí)現(xiàn)一種DDR2 SDRAM存儲器的用戶接口。該用戶接口是基于XILINX公司出產(chǎn)的
    發(fā)表于 01-08 18:15 ?238次下載

    基于Spartan3_FPGADDR2_SDRAM存儲器接口設(shè)計(jì)

    FPGA設(shè)計(jì)DDR2控制講解DDR2時(shí)序原理用戶接口設(shè)計(jì)幫助用戶快速掌握DDR2的控制技術(shù)新
    發(fā)表于 11-10 10:54 ?3次下載

    Xilinx DDR2存儲器接口調(diào)試代碼

    Xilinx FPGA工程例子源碼:Xilinx DDR2存儲器接口調(diào)試代碼
    發(fā)表于 06-07 14:54 ?27次下載

    基于FPGADDR3多端口讀寫存儲管理的設(shè)計(jì)與實(shí)現(xiàn)

    為了解決視頻圖形顯示系統(tǒng)中多個(gè)端口訪問DDR3的數(shù)據(jù)存儲沖突,設(shè)計(jì)并實(shí)現(xiàn)了基于FPGADDR3存儲管理系統(tǒng)。
    發(fā)表于 11-18 18:51 ?6960次閱讀
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>DDR</b>3多端口讀寫<b class='flag-5'>存儲</b>管理的設(shè)計(jì)與實(shí)現(xiàn)

    Stratix III FPGA的特點(diǎn)及如何實(shí)現(xiàn)和高速DDR3存儲器接口

    和Stratix III FPGA接口。 Stratix III FPGA: 具有強(qiáng)大的DDR3寫調(diào)平功能,實(shí)現(xiàn)和高速DDR3
    的頭像 發(fā)表于 06-22 02:04 ?3720次閱讀

    PowerLab 筆記: DDR 存儲器無處不在!

    PowerLab 筆記: DDR 存儲器無處不在!
    發(fā)表于 11-07 08:07 ?0次下載
    PowerLab 筆記: <b class='flag-5'>DDR</b> <b class='flag-5'>存儲器</b>無處不在!

    DDR存儲器接口的硬件和布局設(shè)計(jì)考慮因素

    電子發(fā)燒友網(wǎng)站提供《DDR存儲器接口的硬件和布局設(shè)計(jì)考慮因素.pdf》資料免費(fèi)下載
    發(fā)表于 09-11 14:29 ?0次下載