0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

利用FPGA技術(shù)實(shí)現(xiàn)各類分頻器的設(shè)計(jì)

電子設(shè)計(jì) ? 來源:郭婷 ? 作者:電子設(shè)計(jì) ? 2019-08-07 08:00 ? 次閱讀

引言

分頻器是FPGA設(shè)計(jì)中使用頻率非常高的基本單元之一。盡管目前在大部分設(shè)計(jì)中還廣泛使用集成鎖相環(huán)(如altera的PLL,Xilinx的DLL)來進(jìn)行時(shí)鐘的分頻、倍頻以及相移設(shè)計(jì),但是,對于時(shí)鐘要求不太嚴(yán)格的設(shè)計(jì),通過自主設(shè)計(jì)進(jìn)行時(shí)鐘分頻的實(shí)現(xiàn)方法仍然非常流行。首先這種方法可以節(jié)省鎖相環(huán)資源,再者,這種方式只消耗不多的邏輯單元就可以達(dá)到對時(shí)鐘操作的目的。

1 整數(shù)分頻器的設(shè)計(jì)

1.1 偶數(shù)倍分頻

偶數(shù)分頻器的實(shí)現(xiàn)非常簡單,通過計(jì)數(shù)器計(jì)數(shù)就完全可以實(shí)現(xiàn)。如進(jìn)行N倍偶數(shù)分頻,就可以通過由待分頻的時(shí)鐘觸發(fā)計(jì)數(shù)器計(jì)數(shù),當(dāng)計(jì)數(shù)器從0計(jì)數(shù)到N/2-1時(shí),輸出時(shí)鐘進(jìn)行翻轉(zhuǎn),并給計(jì)數(shù)器一個(gè)復(fù)位信號,以使下一個(gè)時(shí)鐘從零開始計(jì)數(shù)。以此循環(huán),就可以實(shí)現(xiàn)任意的偶數(shù)分頻。

利用FPGA技術(shù)實(shí)現(xiàn)各類分頻器的設(shè)計(jì)

1.2 奇數(shù)倍分頻

奇數(shù)倍分頻有兩種實(shí)現(xiàn)方法,其中之一完全可以通過計(jì)數(shù)器來實(shí)現(xiàn),如進(jìn)行三分頻,就可通過待分頻時(shí)鐘上升沿觸發(fā)計(jì)數(shù)器來進(jìn)行模三計(jì)數(shù),當(dāng)計(jì)數(shù)器計(jì)數(shù)到鄰近值時(shí)進(jìn)行兩次翻轉(zhuǎn)。比如可以在計(jì)數(shù)器計(jì)數(shù)到1時(shí),輸出時(shí)鐘進(jìn)行翻轉(zhuǎn),計(jì)數(shù)到2時(shí)再次進(jìn)行翻轉(zhuǎn)。這樣,就在計(jì)數(shù)值鄰近的1和2進(jìn)行了兩次翻轉(zhuǎn)。如此便實(shí)現(xiàn)了三分頻,其占空比為1/3或2/3。

占空比1/15的15分頻設(shè)計(jì)的主要代碼如下:

利用FPGA技術(shù)實(shí)現(xiàn)各類分頻器的設(shè)計(jì)

如果要實(shí)現(xiàn)占空比為50%的三分頻時(shí)鐘,則可通過待分頻時(shí)鐘下降沿觸發(fā)計(jì)數(shù),并以和上升沿同樣的方法計(jì)數(shù)進(jìn)行三分頻,然后對下降沿產(chǎn)生的三分頻時(shí)鐘和上升沿產(chǎn)生的時(shí)鐘進(jìn)行相或運(yùn)算。即可得到占空比為50%的三分頻時(shí)鐘這是奇數(shù)分頻的第三種方法。這種方法可以實(shí)現(xiàn)任意的奇數(shù)分頻。如將其歸類為一般的方法:對于實(shí)現(xiàn)占空比為50%的N倍奇數(shù)分頻,首先要進(jìn)行上升沿觸發(fā)以進(jìn)行模N計(jì)數(shù),計(jì)數(shù)選定到某一個(gè)值再進(jìn)行輸出時(shí)鐘翻轉(zhuǎn),然后過(N-1)/2再次進(jìn)行翻轉(zhuǎn),就可得到一個(gè)占空比非50%的奇數(shù)n分頻時(shí)鐘。再同時(shí)進(jìn)行下降沿觸發(fā)的模N計(jì)數(shù),當(dāng)其到達(dá)與上升沿觸發(fā)輸出時(shí)鐘翻轉(zhuǎn)選定值相同時(shí),再進(jìn)行輸出時(shí)鐘翻轉(zhuǎn),同樣,經(jīng)過(N-1)/2時(shí),輸出時(shí)鐘再次翻轉(zhuǎn)以生成占空比非50%的奇數(shù)n分頻時(shí)鐘。將這兩個(gè)占空比非50%的n分頻時(shí)鐘相或運(yùn)算,就可以得到占空比為50%的奇數(shù)n分頻時(shí)鐘。

利用FPGA技術(shù)實(shí)現(xiàn)各類分頻器的設(shè)計(jì)

利用FPGA技術(shù)實(shí)現(xiàn)各類分頻器的設(shè)計(jì)

2 半整數(shù)分頻器設(shè)計(jì)

進(jìn)行n+0.5分頻一般需要對輸入時(shí)鐘先進(jìn)行操作。其基本設(shè)計(jì)思想是:首先進(jìn)行模n的計(jì)數(shù),在計(jì)數(shù)到n-1時(shí),將輸出時(shí)鐘賦為‘1’,而當(dāng)回到計(jì)數(shù)0時(shí),又賦為0,這樣,當(dāng)計(jì)數(shù)值為n-1時(shí),輸出時(shí)鐘才為1,因此,只要保持計(jì)數(shù)值n-1為半個(gè)輸入時(shí)鐘周期,即可實(shí)現(xiàn)n+0.5分頻時(shí)鐘。因此,保持n-1為半個(gè)時(shí)鐘周期即是該設(shè)計(jì)的關(guān)鍵。從中可以發(fā)現(xiàn),因?yàn)橛?jì)數(shù)器是通過時(shí)鐘上升沿計(jì)數(shù),故可在計(jì)數(shù)為n-1時(shí)對計(jì)數(shù)觸發(fā)時(shí)鐘進(jìn)行翻轉(zhuǎn),那么,時(shí)鐘的下降沿就變成了上升沿。即在計(jì)數(shù)值為n-1期間的時(shí)鐘下降沿變成了上升沿,也就是說,計(jì)數(shù)值n-1只保持了半個(gè)時(shí)鐘周期。由于時(shí)鐘翻轉(zhuǎn)下降沿變成上升沿,因此,計(jì)數(shù)值變?yōu)?。所以,每產(chǎn)生一個(gè)n+0.5分頻時(shí)鐘的周期,觸發(fā)時(shí)鐘都要翻轉(zhuǎn)一次。

利用FPGA技術(shù)實(shí)現(xiàn)各類分頻器的設(shè)計(jì)

3 任意整數(shù)帶小數(shù)分頻

任意整數(shù)帶小數(shù)分頻的基本原理是采用脈沖吞吐計(jì)數(shù)器和鎖相環(huán)技術(shù)先設(shè)計(jì)兩個(gè)不同分頻比的整數(shù)分頻器,然后通過控制單位時(shí)間內(nèi)兩種分頻比出現(xiàn)的不同次數(shù)來獲得所需要的小數(shù)分頻值。若設(shè)計(jì)一個(gè)分頻系數(shù)為10.1的分頻器,即可以將分頻器設(shè)計(jì)成9次10分頻和1次11分頻,這樣,總的分頻值為:

F=(9×10+1×11)/(9+1)=10.1

從這種實(shí)現(xiàn)方法的特點(diǎn)可以看出,由于分頻器的分頻值不斷改變,分頻后得到的信號抖動一般較大。當(dāng)分頻系數(shù)為N-0.5(N為整數(shù))時(shí),可控制扣除脈沖的時(shí)間,以使輸出成為一個(gè)穩(wěn)定的脈沖頻率,而不是一次N分頻,一次N-1分頻。一般而言,這種分頻由于分頻輸出的時(shí)鐘脈沖抖動很大,故在設(shè)計(jì)中的使用已經(jīng)非常少。但是,這也是可以實(shí)現(xiàn)的。

利用FPGA技術(shù)實(shí)現(xiàn)各類分頻器的設(shè)計(jì)

4 結(jié)束語

利用本文介紹的方法可在對時(shí)鐘要求比較嚴(yán)格的FPGA系統(tǒng)中,用FPGA內(nèi)嵌的鎖相環(huán)資源來實(shí)現(xiàn)分頻。該設(shè)計(jì)方法簡單方便、節(jié)約資源、可移置性強(qiáng)、便于系統(tǒng)升級,因此,在時(shí)鐘要求不太嚴(yán)格的系統(tǒng)中應(yīng)用非常廣泛,同時(shí)在以后的FPGA設(shè)計(jì)發(fā)展中也有很大的應(yīng)用空間。


聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600478
  • 鎖相環(huán)
    +關(guān)注

    關(guān)注

    35

    文章

    577

    瀏覽量

    87626
  • 分頻器
    +關(guān)注

    關(guān)注

    43

    文章

    447

    瀏覽量

    49706
收藏 人收藏

    評論

    相關(guān)推薦

    基于FPGA的通用數(shù)控分頻器設(shè)計(jì)方案

    本文首先介紹了各種分頻器實(shí)現(xiàn)原理,并在FPGA開發(fā)平臺上通過VHDL文本輸入和原理圖輸入相結(jié)合的方式,編程給出了仿真結(jié)果。最后通過對各種分頻的分析,
    發(fā)表于 05-07 09:43 ?4981次閱讀
    基于<b class='flag-5'>FPGA</b>的通用數(shù)控<b class='flag-5'>分頻器</b>設(shè)計(jì)方案

    基于FPGA的任意數(shù)值分頻器的設(shè)計(jì)

    【摘要】:介紹了基于FPGA的任意分頻系數(shù)的分頻器的設(shè)計(jì),該分頻器實(shí)現(xiàn)分頻系數(shù)和占空比均可以調(diào)
    發(fā)表于 04-26 16:09

    基于FPGA的任意分頻器設(shè)計(jì)

    本帖最后由 weihu_lu 于 2014-6-19 16:25 編輯 作者:盧威虎1、前言 分頻器FPGA設(shè)計(jì)中使用頻率非常高的基本單元之一。盡管目前在大部分設(shè)計(jì)中還廣泛使用集成鎖相環(huán)(如
    發(fā)表于 06-19 16:15

    如何利用CPLD/FPGA設(shè)計(jì)多功能分頻器

    分頻器在CPLD/FPGA設(shè)計(jì)中使用頻率比較高,盡管目前大部分設(shè)計(jì)中采用芯片廠家集成的鎖相環(huán)資源 ,但是對于要求奇數(shù)倍分頻(如3、5等)、小數(shù)倍(如2.5、3.5等)分頻、占空比50%
    發(fā)表于 08-12 07:50

    基于FPGA的多種形式分頻的設(shè)計(jì)與實(shí)現(xiàn)

    摘 要: 本文通過在QuartursⅡ開發(fā)平臺下,一種能夠實(shí)現(xiàn)等占空比、非等占空比整數(shù)分頻及半整數(shù)分頻的通用分頻器FPGA設(shè)計(jì)與
    發(fā)表于 06-20 12:43 ?592次閱讀
    基于<b class='flag-5'>FPGA</b>的多種形式<b class='flag-5'>分頻</b>的設(shè)計(jì)與<b class='flag-5'>實(shí)現(xiàn)</b>

    基于CPLD/FPGA的多功能分頻器的設(shè)計(jì)與實(shí)現(xiàn)

    基于CPLD/FPGA的多功能分頻器的設(shè)計(jì)與實(shí)現(xiàn) 引言   分頻器在CPLD/FPGA設(shè)計(jì)中使用頻率比較高,盡管目前大部分設(shè)計(jì)中采用芯
    發(fā)表于 11-23 10:39 ?1288次閱讀
    基于CPLD/<b class='flag-5'>FPGA</b>的多功能<b class='flag-5'>分頻器</b>的設(shè)計(jì)與<b class='flag-5'>實(shí)現(xiàn)</b>

    什么是分頻器 分頻器介紹

    什么是分頻器 分頻器介紹     分頻器是指將不同頻段的聲音信號區(qū)分開來,分別給于放大,然后送到相應(yīng)頻段的揚(yáng)聲中再進(jìn)行重放
    發(fā)表于 02-05 17:51 ?4289次閱讀

    FPGA實(shí)現(xiàn)小數(shù)分頻器

    介紹了一種基于FPGA的雙模前置小數(shù)分頻器分頻原理及電路設(shè)計(jì),并用VHDL編程實(shí)現(xiàn)分頻器的仿真.
    發(fā)表于 11-29 16:43 ?48次下載
    <b class='flag-5'>FPGA</b><b class='flag-5'>實(shí)現(xiàn)</b>小數(shù)<b class='flag-5'>分頻器</b>

    用Verilog實(shí)現(xiàn)基于FPGA的通用分頻器的設(shè)計(jì)

    用 Verilog實(shí)現(xiàn)基于FPGA 的通用分頻器的設(shè)計(jì)時(shí)鐘分頻包括奇數(shù)和偶數(shù)分頻
    發(fā)表于 07-14 11:32 ?46次下載

    分頻器有哪些_分頻器分類

    分頻器分為主動式、被動式、脈沖分頻器三種。主動式電子分音的原理就是要把適當(dāng)頻率訊號傳給適當(dāng)?shù)膯误w,被動式分音“功能、用途”是介于擴(kuò)大器與喇叭之間,由于單一喇叭無法達(dá)到“全頻段響應(yīng)”
    發(fā)表于 01-10 15:36 ?1.2w次閱讀

    奇數(shù)分頻器的介紹和實(shí)現(xiàn)

    因?yàn)榕紨?shù)分頻器過于簡單,所以我們從奇數(shù)分頻器開始說起8 01 奇數(shù)分頻器 ? ? 假設(shè)我們要實(shí)現(xiàn)一個(gè)2N+1分頻
    的頭像 發(fā)表于 03-12 15:44 ?6289次閱讀
    奇數(shù)<b class='flag-5'>分頻器</b>的介紹和<b class='flag-5'>實(shí)現(xiàn)</b>

    一種基于FPGA分頻器實(shí)現(xiàn)

    一種基于FPGA分頻器實(shí)現(xiàn)說明。
    發(fā)表于 05-25 16:57 ?16次下載

    基于CPLD/FPGA的半整數(shù)分頻器設(shè)計(jì)方案

    基于CPLD/FPGA的半整數(shù)分頻器設(shè)計(jì)方案
    發(fā)表于 06-17 09:37 ?21次下載

    FPGA分頻器的設(shè)計(jì)方法

    FPGA分頻器是一種常用于數(shù)字信號處理、通信系統(tǒng)、雷達(dá)系統(tǒng)等領(lǐng)域的電路,其作用是將信號分成多個(gè)頻段。
    發(fā)表于 05-22 14:29 ?1955次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>分頻器</b>的設(shè)計(jì)方法

    FPGA學(xué)習(xí)-分頻器設(shè)計(jì)

    分頻器設(shè)計(jì) 一:分頻器概念 板載時(shí)鐘往往 是 有限個(gè)( 50MHZ/100MHZ/24MHZ/60MHZ… ),如果在設(shè)計(jì)中需要其他時(shí)鐘時(shí),板載時(shí)鐘不滿足時(shí),需要對板載時(shí)鐘進(jìn)行分頻 / 倍頻,目的
    的頭像 發(fā)表于 11-03 15:55 ?1455次閱讀
    <b class='flag-5'>FPGA</b>學(xué)習(xí)-<b class='flag-5'>分頻器</b>設(shè)計(jì)