0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

利用FPGA實現(xiàn)旋轉(zhuǎn)開關(guān)信號到PC/AT鍵碼轉(zhuǎn)換的設(shè)計

電子工程師 ? 來源:未知 ? 作者:胡薇 ? 2018-11-06 16:07 ? 次閱讀

在專用電路設(shè)計中,為了方便操作與控制,要對電路設(shè)計提出各種具體要求。本文即為一設(shè)計實例,其設(shè)計要求為:在旋轉(zhuǎn)開關(guān)的控制下,產(chǎn)生所需的PC/AT鍵碼控制信號,即當(dāng)旋轉(zhuǎn)開關(guān)左轉(zhuǎn)時,每轉(zhuǎn)動一個位置,產(chǎn)生一個左箭頭鍵碼和斷開碼(E0、6B、E0、F0、6B)信號,控制光標(biāo)左移一個位置;旋轉(zhuǎn)開關(guān)右轉(zhuǎn)時,每轉(zhuǎn)動一個位置,產(chǎn)生一個右箭頭鍵碼和斷開碼(E0、74、E0、F0、74)信號,控制光標(biāo)右移一個位置;當(dāng)按下一次旋轉(zhuǎn)開關(guān)的接通按鍵時,產(chǎn)生一個回車鍵碼和斷開碼(50、F0、50)信號,控制光標(biāo)換行。為了實現(xiàn)這一設(shè)計要求,設(shè)計中采用Altera公司生產(chǎn)的可編程邏輯芯片EPM7128SLC,利用Maxplus2軟件完成設(shè)計任務(wù)。

1基本概念

1.1旋轉(zhuǎn)開關(guān)簡介

旋轉(zhuǎn)開關(guān)由A、B兩個通道開關(guān)、一個按壓通斷開關(guān)構(gòu)成,當(dāng)左右旋轉(zhuǎn)時,A、B通道分別輸出具有90度相位差的控制信號;當(dāng)按下通斷開關(guān)時,輸出一個通斷控制信號。旋轉(zhuǎn)開關(guān)的構(gòu)成及輸出波形如圖1所示。

1.2PC/AT鍵碼特點

PC/AT鍵碼由接通碼與斷開碼構(gòu)成,當(dāng)鍵按下時,產(chǎn)生相應(yīng)的PC/AT鍵碼,當(dāng)鍵釋放后,產(chǎn)生對應(yīng)的斷開碼,不同的按鍵對應(yīng)不同的鍵碼和斷開碼,如左箭頭的鍵碼為E0、6B,斷開碼E0、F0、6B;右箭頭的鍵碼為E0、74,斷開碼E0、F0、74;回車鍵的鍵碼為50,斷開碼為F0、50。每發(fā)送一個鍵碼包含11個數(shù)據(jù)位,即1個起始位、8個數(shù)據(jù)位(低位在前,高位在后)、1個奇偶校驗位、1個停止位;在鍵碼傳送的同時,還傳送一個與鍵碼同步的時鐘信號,用于同步鍵碼數(shù)據(jù)的接收;鍵碼中每個數(shù)據(jù)位的傳送發(fā)生在鍵碼時鐘的下降沿,時鐘的波特率為16Kbps左右。

1.3EPM7128簡介

EPM7128是Altera公司生產(chǎn)的建立在多陣列結(jié)構(gòu)基礎(chǔ)上的高性能可編程邏輯器件,其內(nèi)部具有符合IEEE1149.1標(biāo)準(zhǔn)的JTAG接口用于在線下載和修改程序,其內(nèi)部具有2500個可使用門陣列、128個宏單元、8個邏輯陣列塊,EPM7128SLC84具有68個用戶可使用I/O接口。

2軟件設(shè)計

為了利用FPGA將旋轉(zhuǎn)開關(guān)信號轉(zhuǎn)換成PC/AT鍵碼信號,所設(shè)計的FPGA軟件由時鐘發(fā)生器模塊(clk)、時鐘編碼模塊(pd_clk)、旋轉(zhuǎn)開關(guān)狀態(tài)識別模塊(pdidentify)、輸出數(shù)據(jù)編碼控制模塊(pd_control)、碼器模塊(pdcoder)、輸出模塊(pdout)構(gòu)成,其功能連接如圖2所示

時鐘發(fā)生器模塊的功能為:在reset信號的控制下,產(chǎn)生頻率為15.5kHz的時鐘信號,其振蕩源為由5個非門構(gòu)成的串聯(lián)環(huán)路,該振蕩器產(chǎn)生的振蕩信號經(jīng)分頻電路分頻,獲得所需頻率的振蕩信號clk。

時鐘編碼模塊的功能有兩個,一是產(chǎn)生其它功能模塊所需的基準(zhǔn)控制信號clk/16;二是產(chǎn)生所需的PC/AT時鐘信號pdclk,如圖3所示。

旋轉(zhuǎn)開關(guān)狀態(tài)識別模塊的功能是正確地識別旋轉(zhuǎn)開關(guān)的狀態(tài),并產(chǎn)生相應(yīng)的控制信號;如果旋轉(zhuǎn)開關(guān)向左旋轉(zhuǎn)一個位置,則輸出一個Leftturn識別信號;如果旋轉(zhuǎn)開關(guān)向右旋轉(zhuǎn)一個位置,則輸出一個識別信號;如果按壓一次旋轉(zhuǎn)開關(guān)上的接通開關(guān),則輸出一個Up/Down識別信號。

輸出編碼控制模塊和編碼器模塊的功能是在旋轉(zhuǎn)開關(guān)識別信號的控制下,產(chǎn)生相應(yīng)的輸出編碼控制信號,控制編碼器,使編碼器產(chǎn)生相應(yīng)的編碼信號;如果輸入一個Leftturn識別信號,則依次輸出E0、6B、E0、F0、6B五個編碼信號;如果輸入一個識別信號,則依次輸出E0、74、E0、F0、 74五個編碼信號;如果輸入一個Up/Down識別信號,則依次輸出50、F0、50三個編碼信號。

輸出模塊的功能是:根據(jù)輸入的編碼信號,確定校驗位的值,如編碼信號中有奇數(shù)個1,則校驗位為0,否則為1;根據(jù)輸入的編碼信號與生成的校驗位,產(chǎn)生符合PC/AT鍵碼格式要求的鍵碼信號。

3仿真結(jié)果

按照設(shè)計要求,利用Maxplus2軟件進行功能設(shè)計與仿真,在完成模塊功能設(shè)計與各功能模塊仿真之后,進行整體功能模塊連接與仿真,整體模塊連接如圖2所示,功能仿真結(jié)果如圖4所示。其中,reset信號為復(fù)位信號,A、B、ON/OFF信號為來自旋轉(zhuǎn)開關(guān)的輸出信號,所設(shè)計的FPGA輸出的PC/AT鍵碼時鐘與數(shù)據(jù)信號分別為pd_clk和pd_data。

4硬件結(jié)構(gòu)

所設(shè)計的FPGA程序,通過JTAG口與旋轉(zhuǎn)開關(guān)相連接的輸入接口、用于輸出PC/AT鍵碼和時鐘的輸出端口。

5結(jié)束語

所設(shè)計的FPGA芯片可通過計算機鍵盤口進行測試,當(dāng)左、右轉(zhuǎn)動旋轉(zhuǎn)開關(guān)時,控制顯示器上的光標(biāo)隨之左、右移動;當(dāng)按壓旋轉(zhuǎn)開關(guān)上的通斷按鍵時,控制顯示器上的光標(biāo)換行。所設(shè)計的FPGA芯片已被實際工程項目應(yīng)用,實現(xiàn)了設(shè)計目的,滿足了要求。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600735
  • 接口
    +關(guān)注

    關(guān)注

    33

    文章

    8379

    瀏覽量

    150579

原文標(biāo)題:一種基于FPGA的接口電路設(shè)計

文章出處:【微信號:FPGAer_Club,微信公眾號:FPGAer俱樂部】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    利用FPGA實現(xiàn)信號發(fā)生器

    利用FPGA實現(xiàn)信號發(fā)生器
    發(fā)表于 08-24 16:24

    如何利用AD7543和FPGA進行數(shù)/模轉(zhuǎn)換電路設(shè)計?

    數(shù)/模轉(zhuǎn)換(D/A)電路,是數(shù)字系統(tǒng)中常用的電路之一,其主要作用是把數(shù)字信號轉(zhuǎn)換成模擬信號,通常是利用專用的數(shù)/模
    發(fā)表于 08-01 06:19

    利用FPGA怎么實現(xiàn)數(shù)字信號處理?

    DSP技術(shù)廣泛應(yīng)用于各個領(lǐng)域,但傳統(tǒng)的數(shù)字信號處理器由于以順序方式工作使得數(shù)據(jù)處理速度較低,且在功能重構(gòu)及應(yīng)用目標(biāo)的修改方面缺乏靈活性。而使用具有并行處理特性的FPGA實現(xiàn)數(shù)字信號處理
    發(fā)表于 10-17 08:12

    如何利用FPGA設(shè)計實現(xiàn)GNSS信號的頻域快速捕獲算法?

    如何利用FPGA設(shè)計實現(xiàn)GNSS信號的頻域快速捕獲算法?
    發(fā)表于 05-20 06:40

    基于FPGA的DDS信號源設(shè)計與實現(xiàn)

    基于FPGA的DDS信號源設(shè)計與實現(xiàn) 利用DDS和 FPGA 技術(shù)設(shè)計一種信號發(fā)生器.介紹了該
    發(fā)表于 02-11 08:48 ?225次下載

    利用FPGA實現(xiàn)的中頻信號接收平臺

    本文基于XILINX可編程邏輯器件XC4VLX25 FPGA開發(fā)了一個中頻信號接收系統(tǒng),利用一個可配置的硬件平臺實現(xiàn)了模擬信號數(shù)字處理的設(shè)計
    發(fā)表于 07-28 18:01 ?17次下載

    實現(xiàn)FPGAPC的串行通信

    摘    要:本文主要介紹了基于FPGA技術(shù)實現(xiàn)PC串行通信的過程,給出了各個模塊的具體實現(xiàn)方法,分析了實現(xiàn)
    發(fā)表于 03-24 13:31 ?4780次閱讀
    <b class='flag-5'>實現(xiàn)</b><b class='flag-5'>FPGA</b>與<b class='flag-5'>PC</b>的串行通信

    一種基于FPGA的接口電路設(shè)計?

    【摘 要】 在簡要介紹了PC/AT鍵碼、旋轉(zhuǎn)開關(guān)和EPM7128結(jié)構(gòu)特點的基礎(chǔ)上,介紹了利用FPGA
    發(fā)表于 05-11 19:53 ?770次閱讀
    一種基于<b class='flag-5'>FPGA</b>的接口電路設(shè)計?

    怎樣在FPGA中處理開關(guān)控制信號

    本系統(tǒng)設(shè)計利用FPGA間接控制2塊MAX4312選通所需要的視頻通道,實現(xiàn)各個視頻通道間相互切換。根據(jù)開關(guān)控制信號的設(shè)計思想在
    發(fā)表于 06-29 15:45 ?3720次閱讀
    怎樣在<b class='flag-5'>FPGA</b>中處理<b class='flag-5'>開關(guān)</b>控制<b class='flag-5'>信號</b>

    PC與智能儀器構(gòu)成DCS(利用LabVIEW實現(xiàn))

    【LabVIEW從入門精通】5.6 PC與智能儀器構(gòu)成DCS(利用LabVIEW實現(xiàn))
    發(fā)表于 01-08 16:15 ?0次下載

    基于FPGA的FFT信號處理器的設(shè)計與實現(xiàn)

    本文主要研究如何利用FPGA實現(xiàn)FFl’算法,研制具有自主知識產(chǎn)權(quán)的FFT 信號處理器
    發(fā)表于 03-21 16:22 ?44次下載

    FPGA信號處理算法設(shè)計、實現(xiàn)以及優(yōu)化(南京)

    利用FPGA實現(xiàn)信號處理算法是一個難度頗高的應(yīng)用,不僅涉及信號處理算法、
    發(fā)表于 12-26 17:26 ?12次下載

    旋轉(zhuǎn)開關(guān)如何選購

    目前市場上質(zhì)量出色的旋轉(zhuǎn)開關(guān)面板主要都采用PC材質(zhì),PC材質(zhì)擁有出色的抗沖擊和耐高溫性能,不容易出現(xiàn)變色,因此我們在購買時最好選擇PC材質(zhì)的
    的頭像 發(fā)表于 08-21 11:30 ?3943次閱讀

    旋轉(zhuǎn)開關(guān)原理

    在外觀上相似于傳統(tǒng)或電阻式電位計,不過這些旋轉(zhuǎn)開關(guān)的內(nèi)部構(gòu)造完全數(shù)字化并使用光學(xué)技術(shù)。和傳統(tǒng)增量編碼器產(chǎn)品相似有兩個正交輸出信號 (通道 A 和通道 B),可以直接和編碼器處理芯片相連接。
    的頭像 發(fā)表于 08-21 11:33 ?2.6w次閱讀
    <b class='flag-5'>旋轉(zhuǎn)</b><b class='flag-5'>開關(guān)</b>原理

    如何使用FPGA實現(xiàn)BayerRGB圖像格式轉(zhuǎn)換的設(shè)計

    利用FPGA處理數(shù)據(jù)量大、處理速度快,結(jié)合CMOS圖像傳感器MT9M001和BayerCFA格式圖像的特點,設(shè)計一種基于FPGA的圖像數(shù)據(jù)轉(zhuǎn)換處理系統(tǒng),提出用硬件
    發(fā)表于 01-25 16:04 ?6次下載
    如何使用<b class='flag-5'>FPGA</b><b class='flag-5'>實現(xiàn)</b>Bayer<b class='flag-5'>到</b>RGB圖像格式<b class='flag-5'>轉(zhuǎn)換</b>的設(shè)計