0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

深入探討基于SYSTEM C的FPGA設(shè)計

電子工程師 ? 來源:未知 ? 作者:胡薇 ? 2018-11-06 17:07 ? 次閱讀

一、概述

隨著VLSI的集成度越來越高,設(shè)計也越趨復雜。一個系統(tǒng)的設(shè)計往往不僅需要硬件設(shè)計人員的參與,也需要有軟件設(shè)計人員的參與。軟件設(shè)計人員與硬件設(shè)計人員之間的相互協(xié)調(diào)就變的格外重要,它直接關(guān)系到工作的效率以及整個系統(tǒng)設(shè)計的成敗。傳統(tǒng)的設(shè)計方法沒有使軟件設(shè)計工作與硬件設(shè)計工作協(xié)調(diào)一致,而是將兩者的工作割裂開來。軟件算法的設(shè)計人員在系統(tǒng)設(shè)計后期不能為硬件設(shè)計人員的設(shè)計提供任何的幫助。同時現(xiàn)在有些大規(guī)模集成電路設(shè)計中往往帶有DSP Core或其它CPU Core。這些都使得單純地用原理圖或硬件描述語言來設(shè)計、仿真這么復雜的系統(tǒng)變得十分困難。SYSTEM C就是在這些矛盾的背景下提出的。它的出現(xiàn)為復雜的系統(tǒng)設(shè)計提供了一條有效的解決途徑。 System C 是由 Synospy Inc. 提出的,目前最新的版本為V2.0。它提出的目的就是以一種系統(tǒng)設(shè)計的思想進行系統(tǒng)設(shè)計。它將軟件算法與硬件實現(xiàn)很好的結(jié)合在一起,提高了整個系統(tǒng)設(shè)計的效率和正確性。

System C 是一個C++ 庫,也是一種使設(shè)計者可以有效地設(shè)計出一個軟件算法的準確循環(huán)模型,硬件結(jié)構(gòu)以及系統(tǒng)級設(shè)計的方法。設(shè)計者可以用System C開發(fā)工具或在標準C++開發(fā)工具中加如System C庫制作系統(tǒng)級模型,快速地仿真和優(yōu)化設(shè)計,以及研究不同的算法,并且為硬件和軟件設(shè)計人員提供一個設(shè)計系統(tǒng)的可執(zhí)行規(guī)范??蓤?zhí)行規(guī)范本質(zhì)上是一個C++程序,它顯示了和設(shè)計系統(tǒng)同樣的性能,為軟件設(shè)計人員和硬件設(shè)計人員提供了一個設(shè)計的標準。

System C 庫提供了創(chuàng)造系統(tǒng)結(jié)構(gòu)模型的必須結(jié)構(gòu),包括那些在C++沒有的功能如硬件時序,并行和觸發(fā)功能。C++這種面對象語言提供了通過增加類來擴展語言的能力,而這種能力是C語言所不具備的。因此,System C使用大家熟悉的C++語言和開發(fā)工具。

二、SYSTEM C的特點

System C 支持對硬件和軟件的聯(lián)合設(shè)計,支持描述一個既包含硬件部分也包含軟件部分的復雜系統(tǒng)結(jié)構(gòu)。它也支持對接口的描述,有以下幾個顯著的特點:

1.System C可以為軟件設(shè)計人員和硬件設(shè)計人員提供一個系統(tǒng)的可執(zhí)行規(guī)范。設(shè)計人員使用該可執(zhí)行規(guī)范可以避免設(shè)計中矛盾和錯誤的產(chǎn)生,并確保設(shè)計的完備性。這是應為在設(shè)計可執(zhí)行規(guī)范時,系統(tǒng)設(shè)計者必須設(shè)計出也一個和系統(tǒng)具有同樣工作狀態(tài)的程序,通過這個程序可以發(fā)掘出潛在的矛盾和錯誤,并將這些矛盾和錯誤消除在整個設(shè)計的開始階段,而不是在整個系統(tǒng)進行調(diào)試階段才發(fā)現(xiàn)和解決這些矛盾和錯誤。這個程序還可以幫助設(shè)計者確保整個系統(tǒng)設(shè)計的完備性。

2.設(shè)計人員利用這個可執(zhí)行的系統(tǒng)規(guī)范,還可以發(fā)現(xiàn)設(shè)計中概念模糊的地方。無論什么時候,設(shè)計人員對設(shè)計產(chǎn)生疑惑,就可以運行這個可執(zhí)行程序以明確在這個問題上系統(tǒng)設(shè)計人員是如何處理的,從而確保系統(tǒng)設(shè)計的正確性。而現(xiàn)在的設(shè)計方法不能使設(shè)計人員方便迅速的解決這些疑惑。甚至這些疑惑是系統(tǒng)設(shè)計人員也不曾考慮過,這可能導致系統(tǒng)要重新進行設(shè)計。

3.在系統(tǒng)設(shè)計被實現(xiàn)以前,設(shè)計人員還可以通過用System C設(shè)計的系統(tǒng)可執(zhí)行規(guī)范來驗證整個系統(tǒng)設(shè)計。這樣可以避免由于在系統(tǒng)設(shè)計上的失誤,而使系統(tǒng)實現(xiàn)不能達到要求。現(xiàn)在的設(shè)計方法不能提供這樣的手段在設(shè)計完成前進行系統(tǒng)的驗證,對系統(tǒng)的驗證必須是在系統(tǒng)設(shè)計完后。即使在完成前進行驗證,由于不能完全模擬實際系統(tǒng)的工作,其結(jié)果也只能作為一種參考。

4.System C設(shè)計的可執(zhí)行規(guī)范所使用的TESTBENCH文件可以通過小范圍的修改或直接用在實現(xiàn)后的系統(tǒng)仿真。這就為設(shè)計實現(xiàn)人員帶來很大的好處,他們不必花很多的時間去編寫用來驗證實現(xiàn)后系統(tǒng)正確性的TESTBENCH文件。而現(xiàn)在采用的設(shè)計方法所使用的TESTBENCH文件卻沒有提供這樣的便利條件。這是應為軟件設(shè)計人員和硬件設(shè)計人員兩者的設(shè)計思想和設(shè)計手段都是不同的,一個軟件設(shè)計人員所使用的TESTBENCH文件并不能被硬件設(shè)計人員使用,甚至不能給硬件設(shè)計人員任何幫助。

三、SYSTEM C設(shè)計與傳統(tǒng)設(shè)計比較

現(xiàn)在的系統(tǒng)設(shè)計一般是由系統(tǒng)工程師用C語言或C++語言設(shè)計出一個系統(tǒng)模型,并在系統(tǒng)級層次上檢驗概念和算法。當這些概念和算法被檢驗為正確無誤時,C/C++模塊被分解為功能相對獨立的子模塊。這些相對對立的子模塊由硬件設(shè)計人員手工地轉(zhuǎn)化為VHDL或Verilog語言用以硬件實現(xiàn)。

1.人工將CC++程序轉(zhuǎn)換為HDL程序容易產(chǎn)生錯誤。在現(xiàn)在的這種設(shè)計方法中,系統(tǒng)工程師先按期望的設(shè)計要求設(shè)計出一個C模塊,并驗證這個模塊使其達到期望的設(shè)計要求。然后系統(tǒng)工程師所設(shè)計的C模塊被硬件設(shè)計人員手工轉(zhuǎn)換為HDL模塊。這個轉(zhuǎn)換過程不僅容易產(chǎn)生錯誤,而且還很浪費時間。這是因為CC++語言和HDL語言有著顯著的區(qū)別。首先,HDL的處理方式比CC++的復雜。CC++程序采用順序執(zhí)行的處理方式,而HDL程序中既有順序執(zhí)行也有并行執(zhí)行的處理方式。要將CC++程序轉(zhuǎn)化為HDL程序必然要引入一些控制信號,由這些信號控制

HDL程序的運行,但這樣也容易產(chǎn)生錯誤。其次,CC++語言不涉及到時序關(guān)系。由于CC++語言不支持對時序的描述,系統(tǒng)工程師設(shè)計的系統(tǒng)模型只是驗證了概念和算法,而只能對時間耗費上有一個大概的估計。這就使得硬件實現(xiàn)時,為滿足系統(tǒng)在時間上的要求,硬件設(shè)計人員必須對軟件算法進行一定的改造或優(yōu)化。而這些改造或優(yōu)化也有可能引入各種錯誤。

2.系統(tǒng)模塊和HDL模塊間缺乏聯(lián)系。當系統(tǒng)模塊被轉(zhuǎn)換為HDL模塊后,HDL模塊成為整個系統(tǒng)設(shè)計的焦點。為適應硬件實現(xiàn)的特點,硬件設(shè)計人員會更改系統(tǒng)設(shè)計人員的設(shè)計,但這種更改只是在HDL模塊中進行,而系統(tǒng)設(shè)計人員設(shè)計的C模塊并沒有因此更改。這就使得系統(tǒng)工程師設(shè)計的C模塊和當前硬件設(shè)計人員設(shè)計的HDL模塊缺乏必要的聯(lián)系。當硬件設(shè)計人員遇到概念模糊或理解錯誤的地方時,往往不能馬上從C模塊中得到明確的解答。此時,C模塊的設(shè)計人員也不一定能為HDL設(shè)計人員提供有效的幫助。

3.多系統(tǒng)測試。不但C模塊要轉(zhuǎn)換為HDL模塊,對C模塊的測試也要人工轉(zhuǎn)換為在HDL環(huán)境下的測試。這種轉(zhuǎn)換也很復雜,而且浪費時間。HDL設(shè)計人員是根據(jù)他所設(shè)計的HDL模塊和系統(tǒng)要求來設(shè)計TESTBENCH,這使得硬件設(shè)計人員不可能利用軟件設(shè)計人員所使用的測試文件。同時,HDL人員要設(shè)計出一個好的TESTBENCH也需要比較長的時間。為解決在現(xiàn)在設(shè)計流程中所帶來的種種弊端,一種全新的設(shè)計流程被提出,這就是System C設(shè)計流程。它能很好的解決上面所提到的各種設(shè)計弊端,大大提高設(shè)計效率。圖2是System C的硬件設(shè)計流程。

圖2

這種設(shè)計方法與現(xiàn)在常用的設(shè)計方法相比有很多優(yōu)點:

1.精煉的設(shè)計方法。使用System C設(shè)計系統(tǒng),系統(tǒng)設(shè)計人員不必花費很大的精力將整個系統(tǒng)設(shè)計由C語言描述轉(zhuǎn)換為HDL描述。系統(tǒng)設(shè)計人員可以通過在C模塊中很小的區(qū)域范圍內(nèi)加入必要的硬件和時序結(jié)構(gòu)描述,從而將C模塊方便準確地轉(zhuǎn)化為一個有效的硬件設(shè)計,而避免將另行設(shè)計一個硬件模塊。利用System C設(shè)計方法,設(shè)計人員可以很輕松地實現(xiàn)一個設(shè)計的更改,或在優(yōu)化算法時檢測出一些設(shè)計錯誤并及時修改。

2.單一語言書寫。使用System C設(shè)計系統(tǒng),整個設(shè)計都用一種語言設(shè)計系統(tǒng),降低了對設(shè)計人員的要求,減少了語言轉(zhuǎn)換時所造成的錯誤。這一優(yōu)點也使得設(shè)計人員可以在一個比較高的層次上進行系統(tǒng)模塊設(shè)計。在較高層次的設(shè)計會導致產(chǎn)生小的設(shè)計代碼,使設(shè)計和仿真的速度比傳統(tǒng)的設(shè)計方法要快很多。這一點是很顯著的。

四、SYSTEM C基本概念

1.模塊

模塊是System C設(shè)計中的基本設(shè)計單元。模塊可以使得設(shè)計者將一個復雜的系統(tǒng)分割為一些更小但易于管理的部分。System C模塊的功能和作用與HDL語言中的模塊是相類似的,這使得一位習慣于用HDL進行設(shè)計的設(shè)計人員可以很容易的轉(zhuǎn)向用System C進行設(shè)計。

模塊在System C中的關(guān)鍵字為SC_MODULE。緊跟著關(guān)鍵字后的是模塊的名稱,如SC_MODULE(fifo),這就定義了一個叫fifo的模塊。定義的模塊也可以像HDL語言一樣包含端口、信號、其它模塊、處理過程和結(jié)構(gòu)體,這些單元實現(xiàn)用以實現(xiàn)模塊的功能。通過端口可以將幾個模塊連接起來。

模塊被保存為.h文件。如果在一個模塊中調(diào)用其它模塊,只需像C++中引入庫一樣將要調(diào)用的模塊作為一個庫引入即可。

2.過程

模塊中的處理過程(process)類似于C語言中的子程序,與C語言中的子程序不同的的是它具有HDL語言中的觸發(fā)功能。處理過程(process)的具體工作部分被保存為.cpp文件。處理過程的調(diào)用類似于在C語言中子程序的調(diào)用。根據(jù)不同的要求,SystemC中有三種處理過程。

Methods : SC_METHOD() ● Threads : SC_THREAD()

● Clock Threads : SC_CTHREAD()

SC_METHOD是用來描述組合邏輯,它由輸入信號的變化觸發(fā),但不能在兩次調(diào)用中保存控制狀態(tài)。并且在SC_METHOD中不能包含無限循環(huán)。由于組合邏輯有可能導致毛刺的產(chǎn)生,從而影響系統(tǒng)性能,所以SC_METHOD不易太復雜。 下面是一個簡單的SC_METHOD例子:

SC_MODULE(example) {

sc_in din;

sc_out dout;//端口

void inverter();//處理過程聲明

SC_CTOR(example) {

SC_METHOD(inverter);

sensitive(din);//處理過程由輸入變化觸發(fā)

}

};

處理過程inverter如下:

void example::inverter() {

bool internal;

internal = din;//輸入數(shù)據(jù)取反后由輸出端口輸出

dout = ~internal;

}

SC_THREAD是最常用的處理過程,基本上可以用在任何地方。它是由輸入信號的變化觸發(fā),但與SC_METHOD不同的是它可以在兩次調(diào)用這保存控制狀態(tài)。它的功能類似于積存器的功能。SC_THREAD中可以包含有wait()函數(shù),這使得處理過程可以被掛起。

SC_CTHREAD是SC_THREAD的一種

特殊情況。SC_CTHREAD能產(chǎn)生更好的綜合效果。SC_CTHREAD中可以使用wait()函數(shù)。在不同的狀態(tài)間加入wait()函數(shù),設(shè)計人員可以用SC_CTHREAD來實現(xiàn)狀態(tài)機。這種設(shè)計風格是簡便的而且容易理解。SC_CTHREAD只能由時鐘信號沿觸發(fā),而SC_THREAD可以由其它非時鐘信號觸發(fā)。如果在時鐘上跳邊觸發(fā),可以使用pos()函數(shù),反之用neg()。

為進一步說明SC_CTHREAD,下面給出了一個SC_CTHREAD的例子。

SC_MODULE(example) {

sc_in_clk clock;//輸入時鐘

sc_in trigger, din;

sc_out dout;

void invert();

SC_CTOR(example) {

SC_CTHREAD(toggler, clock.pos());//時鐘上升沿觸發(fā)

}

}

void example::invert() {

bool last = false;

for (;;) {

wait_until(trigger.delayed() == true);//等到下個時鐘上升沿 //且trigger=1再執(zhí)行

last = din; dout = last; wait();

last =~din; dout = last;wait();//下個上升沿才更改數(shù)據(jù)

}

}

3.端口與信號

與HDL語言相似,使用SYSTEM C庫就可以在C程序中加入端口和信號。這些原先C語言中沒有的功能,使設(shè)計更復合硬件設(shè)計的要求。 模塊與模塊之間是通過端口信號加一聯(lián)系。只要兩個端口被連接在一起,信號就可以在它們之間進行傳遞。對于這一點習慣用HDL進行設(shè)計的設(shè)計人員是很容易理解和接受的,因為這和HDL中端口的功能是一樣的。 信號只是在一個模塊的內(nèi)部使用,這也是和HDL中信號的用法是一致的。 下面給出端口和信號聲明的例子。

sc_in<“類型”> din// 輸入端口din

sc_out<“類型”> dout// 輸出端口dout

sc_inout<“類型”> q// 輸入輸出端口q

sc_signal i[16]; //創(chuàng)建一個具有16比特的邏輯型信號i

4.數(shù)據(jù)類型

考慮到硬件設(shè)計的要求,System C中也加入了一些硬件設(shè)計中常用的數(shù)據(jù)類型。具體如下:

sc_int 有符號整數(shù)類型,最大有64個比特位。

sc_uint 無符號整數(shù)類型,最大有64個比特位。

sc_bigint 有符號整數(shù)類型,任意比特位,其最大比特位定義在

sc_constants.h中。

sc_biguint 無符

號整數(shù)類型,任意比特位,其最大比特位定義在

sc_constants.h中。

sc_bit 二值數(shù)據(jù),單比特位。

sc_logic 四值數(shù)據(jù),單比特位。

sc_bv 二值數(shù)據(jù),任意比特位。

sc_lv 四值數(shù)據(jù),任意比特位。

sc_fixed 參數(shù)固定的有符號定點數(shù)。

sc_ufixed 參數(shù)固定的無符號定點數(shù)。

sc_fix 參數(shù)不固定的有符號定點數(shù)。

sc_ufix 參數(shù)不固定的無符號定點數(shù)。

User defined structs 用戶自定義結(jié)構(gòu)

以上是一些System C的基本概念。

五、結(jié)論

System C是一種很有效的設(shè)計方法,它不僅可以幫助設(shè)計人員完成一個復雜的系統(tǒng)設(shè)計,還可以避免傳統(tǒng)設(shè)計中的各種弊端,并提高設(shè)計人員的工作效率。它的這些優(yōu)點使System C在復雜的系統(tǒng)設(shè)計中大有作為。并且習慣用HDL的設(shè)計人員,可以很容易地轉(zhuǎn)到用System C設(shè)計。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600705
  • System
    +關(guān)注

    關(guān)注

    0

    文章

    164

    瀏覽量

    36806

原文標題:基于SYSTEM C的FPGA設(shè)計方法

文章出處:【微信號:FPGAer_Club,微信公眾號:FPGAer俱樂部】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    深入探討電容的種類和作用

    深入探討電容的種類和作用     你知道顯卡為什么會花屏嗎?
    發(fā)表于 11-27 15:00 ?1.5w次閱讀

    深入淺出玩轉(zhuǎn)fpga PDF教程和光盤資源

    深入淺出玩轉(zhuǎn)FPGA,作者吳厚航,由北京航空航天大學出版社出版。本書收集整理了作者在FPGA學習和實踐中的經(jīng)驗點滴。書中既有日常的學習筆記,對一些常用設(shè)計技巧和方法進行深入探討;也有很
    發(fā)表于 02-27 10:45

    深入探討DFM在PCB設(shè)計中的注意要點

    深入探討DFM在PCB設(shè)計中的注意要點,大家說自己的經(jīng)驗,交流交流,學習學習。
    發(fā)表于 10-24 15:15

    深入淺出玩轉(zhuǎn) FPGA-吳厚航

    本書收集整理了作者在FPGA學習和實踐中的經(jīng)驗點滴。書中既有日常的學習筆記,對一些常用設(shè)計技巧和方法進行深入探討;也有很多生動的實例分析,這些實例大都是以特定的工程項目為依托,具有一定的借鑒價值
    發(fā)表于 12-29 16:57

    stm32 uart硬件實現(xiàn)及深入探討(單片機通信學習連載4)

    大家上午好!今天邀請了張角老師,來為大家深入講解stm32 uart,視頻為一個系列,本次為第四期內(nèi)容,請持續(xù)關(guān)注,會持續(xù)進行更新!前期回顧:第三期:stm32 uart硬件實現(xiàn)及深入探討(單片機
    發(fā)表于 06-29 11:10

    【原創(chuàng)】STM32 UART通信深入探討

    作者:張角老師(張飛實戰(zhàn)電子高級工程師)STM32 UART通信深入探討在單片機開發(fā)過程中,我們常用的通信協(xié)議主要有UART,SPI,I2C這幾種,是吧。這三種通信協(xié)議,本質(zhì)上都是串口通信,也就是說
    發(fā)表于 07-15 11:13

    【視頻】 stm32 uart硬件實現(xiàn)及深入探討3

    大家上午好!今天來為大家深入講解STM32 uart,視頻為一個系列,請持續(xù)關(guān)注,會持續(xù)進行更新!有問題留言交流!上期回顧:stm32 uart硬件實現(xiàn)及深入探討一鍵分析設(shè)計隱患,首款國產(chǎn)PCB
    發(fā)表于 09-17 09:44

    【視頻】 stm32 uart硬件實現(xiàn)及深入探討4

    大家上午好!今天來為大家深入講解STM32 uart,視頻為一個系列,請持續(xù)關(guān)注,會持續(xù)進行更新!有問題留言交流!上期回顧:stm32 uart硬件實現(xiàn)及深入探討3一鍵分析設(shè)計隱患,首款國產(chǎn)PCB
    發(fā)表于 09-22 09:24

    OV7620_OV6620圖像采集之深入探討

    OV7620_OV6620圖像采集之深入探討
    發(fā)表于 09-25 16:13 ?189次下載

    深入探討人工智能的實際應用

    導讀:本文通過案例分門別類地深入探討人工智能的實際應用。案例甚多,此處所列舉的僅是九牛一毛。本該按行業(yè)或業(yè)務對這些案例進行分類,但相反我選擇按在行業(yè)或業(yè)務中最可能應用的順序來分類。
    的頭像 發(fā)表于 11-11 10:33 ?2632次閱讀
    <b class='flag-5'>深入探討</b>人工智能的實際應用

    深入探討超聲波風速風向儀

    深入探討超聲波風速風向儀
    發(fā)表于 10-27 17:25 ?15次下載

    深入探討交通安全統(tǒng)籌信息系統(tǒng)

    深入探討交通安全統(tǒng)籌信息系統(tǒng)
    發(fā)表于 10-29 18:07 ?0次下載

    深入探討醫(yī)療應用的未來發(fā)展趨勢

    深入探討醫(yī)療應用的未來發(fā)展趨勢
    發(fā)表于 11-03 08:04 ?1次下載
    <b class='flag-5'>深入探討</b>醫(yī)療應用的未來發(fā)展趨勢

    深入探討RF信號鏈

    在我們深入探討之前,我們先來了解RF的實際含義。乍一看,這似乎是一個簡單的問題。我們都知道,RF表示射頻,此術(shù)語的通用定義規(guī)定了特定的頻率范圍:MHz至GHz電磁頻譜。
    的頭像 發(fā)表于 01-03 16:43 ?958次閱讀

    深入探討軟件定義架構(gòu)及其意義

    在上期文章中,我們了解了現(xiàn)代GNSS模擬中的軟件定義架構(gòu),并與傳統(tǒng)架構(gòu)進行了對比,本期文章中我們將繼續(xù)深入探討軟件定義架構(gòu)及其意義。
    的頭像 發(fā)表于 02-08 10:40 ?648次閱讀
    <b class='flag-5'>深入探討</b>軟件定義架構(gòu)及其意義