0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

全面解析全球半導(dǎo)體發(fā)展格局

mK5P_AItists ? 來源:cg ? 2019-01-08 16:27 ? 次閱讀

中國半導(dǎo)體產(chǎn)業(yè)鏈漸趨完善,產(chǎn)業(yè)生態(tài)體系逐步成形

目前我國垂直分工模式的芯片產(chǎn)業(yè)鏈初步搭建成形,產(chǎn)業(yè)上中下游已然打通,涌現(xiàn)出一批實力較強(qiáng)的代表性本土企業(yè)。集成電路是基礎(chǔ)性、先導(dǎo)性產(chǎn)業(yè),涉及國家信息安全,做大做強(qiáng)集成電路產(chǎn)業(yè)已成為國家產(chǎn)業(yè)轉(zhuǎn)型的戰(zhàn)略先導(dǎo)。

近年來,中國集成電路技術(shù)水平與國際差距正在逐步縮小,產(chǎn)業(yè)已經(jīng)進(jìn)入快速發(fā)展的軌道。

其中主要包括:1)以華為海思、紫光展銳等為代表的芯片設(shè)計企業(yè);2)以中芯國際、華虹半導(dǎo)體為代表的晶圓代工制造企業(yè);3)以長電科技、華天科技、通富微電為代表的芯片封測企業(yè);4)采用IDM模式的華潤微電子、士蘭微等。

漸趨完整的產(chǎn)業(yè)生態(tài)體系為實現(xiàn)半導(dǎo)體設(shè)備的進(jìn)口替代并解決國內(nèi)較大市場缺口提供了良好的基礎(chǔ)。

中國本土設(shè)備企業(yè):機(jī)遇與挑戰(zhàn)并存,最“壞”的時代亦是最好的時代

設(shè)備制造業(yè)是半導(dǎo)體產(chǎn)業(yè)的基礎(chǔ),是完成晶圓制造、封裝測試環(huán)節(jié)和實現(xiàn)集成電路技術(shù)進(jìn)步的關(guān)鍵。

所需專用設(shè)備主要包括晶圓制造環(huán)節(jié)所需的***、化學(xué)汽相淀積(CVD)設(shè)備、刻蝕機(jī)、離子注入機(jī)、表面處理設(shè)備等;封裝環(huán)節(jié)所需的切割減薄設(shè)備、度量缺陷檢測設(shè)備、鍵合封裝設(shè)備等;測試環(huán)節(jié)所需的測試機(jī)、分選機(jī)、探針臺等。

以及其他前端工序所需的擴(kuò)散、氧化及清洗設(shè)備等。這些設(shè)備的制造需要綜合運(yùn)用光學(xué)、物理、化學(xué)等科學(xué)技術(shù),具有技術(shù)壁壘高、制造難度大、設(shè)備價值及研發(fā)投入高等特點(diǎn)。

全球半導(dǎo)體設(shè)備市場集中度高,美日歐五大巨頭引領(lǐng)全球半導(dǎo)體設(shè)備市場。

據(jù)Bloomberg數(shù)據(jù),2017年全球五大半導(dǎo)體設(shè)備制造商分別為應(yīng)用材料(AMAT)、阿斯麥(ASML)、拉姆研究(LamResearch)、東晶電子(TLE)、科磊(KLA),這五大半導(dǎo)體制造商在2017年以其領(lǐng)先的技術(shù)、強(qiáng)大的資金支持占據(jù)著全球半導(dǎo)體設(shè)備制造業(yè)超過70%的份額。

其中阿斯麥公司在***設(shè)備上一家獨(dú)大,2013~2017年一直擁有18%以上的全球半導(dǎo)體設(shè)備市場份額,憑借在高端***市場上的壟斷地位以及持續(xù)高額的研發(fā)投入,阿斯麥在設(shè)備市場上保持著較高的市場認(rèn)可度。

與之并駕齊驅(qū)的是研發(fā)用于其他制造流程設(shè)備的應(yīng)用材料與拉姆研究,兩家公司近五年來也保持穩(wěn)健的市場份額增長。

應(yīng)用材料公司在其強(qiáng)勢領(lǐng)域表現(xiàn)全面而穩(wěn)定,一直占據(jù)著半導(dǎo)體設(shè)備銷售額前三的位置。

細(xì)分領(lǐng)域術(shù)業(yè)有專攻,全球設(shè)備行業(yè)龍頭各顯神通占據(jù)世界領(lǐng)先地位。

在半導(dǎo)體產(chǎn)業(yè)價值鏈中,***作為產(chǎn)業(yè)的核心,占了半導(dǎo)體設(shè)備投資較大的份額,其中荷蘭ASML公司憑借領(lǐng)先的技術(shù)和優(yōu)秀的產(chǎn)品,在45納米以下制程的高端***市場中占據(jù)大部分以上的市場份額,而在EUV***領(lǐng)域目前處于壟斷地位,市占率為100%(業(yè)內(nèi)獨(dú)家)。

應(yīng)用材料公司在除了光刻領(lǐng)域外的其他核心半導(dǎo)體設(shè)備領(lǐng)域有著較強(qiáng)的競爭力,在PVD設(shè)備上,應(yīng)用材料作為行業(yè)龍頭占據(jù)了大部分的市場份額,在CVD和蝕刻設(shè)備上應(yīng)用材料與拉姆研究、東京電子等企業(yè)競爭激烈,同時應(yīng)用材料在CMP、檢查和量測(包括半導(dǎo)體、掩摸和光伏)、電鍍ALD、離子注入、外延工藝和RTP領(lǐng)域都有涉獵。

中國半導(dǎo)體設(shè)備的進(jìn)口依賴問題較為嚴(yán)重,2017年國產(chǎn)化率僅為9%。半導(dǎo)體裝備業(yè)具有較高的技術(shù)壁壘、市場壁壘和客戶認(rèn)知壁壘,由于我國半導(dǎo)體設(shè)備產(chǎn)業(yè)整體起步較晚,目前國產(chǎn)規(guī)模仍然較小。

據(jù)SEMI統(tǒng)計,2017年中國大陸半導(dǎo)體設(shè)備銷售額為82.3億美元,據(jù)中國電子專用設(shè)備工業(yè)協(xié)會數(shù)據(jù),2017年中國國產(chǎn)半導(dǎo)體設(shè)備(不含光伏設(shè)備)48.07億元,據(jù)此計算中國半導(dǎo)體設(shè)備市場國產(chǎn)化率僅為9%。

國內(nèi)設(shè)備市場仍主要由美國應(yīng)用材料(AppliedMaterial)、美國泛林半導(dǎo)體(LamResearch)、日本東京電子(TokyoElectron)、日本愛德萬(Advantest)、美國科磊(KLA-Tencor)等國外知名企業(yè)所占據(jù)。

集成電路設(shè)備是集成電路產(chǎn)業(yè)發(fā)展的重要基石,專用設(shè)備的大量依賴進(jìn)口不僅嚴(yán)重影響我國集成電路的產(chǎn)業(yè)發(fā)展,也對我國電子信息安全造成重大隱患。

中微半導(dǎo)體、北方華創(chuàng)、長川科技等一批本土設(shè)備制造商正在奮起直追,有望逐步實現(xiàn)進(jìn)口替代。

本土企業(yè)中,包括上海中微半導(dǎo)體、北方華創(chuàng)、長川科技、北京華峰等業(yè)內(nèi)少數(shù)專用設(shè)備制造商通過多年的研發(fā)和積累,已掌握了相關(guān)核心技術(shù),擁有自主知識產(chǎn)權(quán),具備一定規(guī)模和品牌知名度,占據(jù)了一定市場份額。

在02專項和大基金的扶持下,國內(nèi)的半導(dǎo)體制造企業(yè)如:北方華創(chuàng)、中微半導(dǎo)體、沈陽拓荊等已經(jīng)在技術(shù)上取得了一系列突破,多種半導(dǎo)體設(shè)備研制成功。

除了光刻設(shè)備突破不大之外,刻蝕和薄膜沉淀設(shè)備已經(jīng)可以在12英寸28nm的產(chǎn)線上批量使用,還有一批14nm的設(shè)備已經(jīng)進(jìn)入產(chǎn)線工藝驗證階段。

雖然與國際上最新的7nm/10nm技術(shù)還有一定的距離,但是隨著中國半導(dǎo)體市場越來越大,國產(chǎn)半導(dǎo)體設(shè)備制造企業(yè)憑借著地理、服務(wù)、價格等優(yōu)勢有望速度崛起,或?qū)崿F(xiàn)對國外領(lǐng)先公司的技術(shù)和業(yè)務(wù)的彎道追趕。

近年國產(chǎn)設(shè)備技術(shù)發(fā)展穩(wěn)健,12英寸28nm晶圓關(guān)鍵設(shè)備(***外)已進(jìn)入主流生產(chǎn)線實現(xiàn)量產(chǎn),其中刻蝕機(jī)已進(jìn)入7nm產(chǎn)線。

據(jù)中國電子專用設(shè)備工業(yè)協(xié)會數(shù)據(jù),2016年中芯國際北京晶圓廠使用國產(chǎn)集成電路晶圓設(shè)備加工的12英寸正式產(chǎn)品突破一千萬片次,標(biāo)志著集成電路國產(chǎn)設(shè)備在市場化大生產(chǎn)線中得到充分驗證。

2017年中微半導(dǎo)體研制的7nm等離子刻蝕機(jī)已在國際頂尖的集成電路生產(chǎn)線上量產(chǎn)使用,達(dá)到了國際先進(jìn)水平;12英寸晶圓先進(jìn)封裝、測試生產(chǎn)線設(shè)備(17種)實現(xiàn)了國產(chǎn)化,設(shè)備國產(chǎn)化率達(dá)70%以上。

集成電路測試設(shè)備有望成為率先實現(xiàn)較大規(guī)模進(jìn)口替代的環(huán)節(jié)。由于測試環(huán)節(jié)是貫穿集成電路生產(chǎn)過程的重要流程,測試設(shè)備制造企業(yè)在產(chǎn)業(yè)鏈中也占據(jù)著重要地位,是上中下游各類企業(yè)完成檢測工藝的有力支撐。

集成電路測試設(shè)備主要用于封裝測試產(chǎn)業(yè)環(huán)節(jié),客戶包括下游封裝測試企業(yè)、晶圓制造企業(yè)和芯片設(shè)計企業(yè),目前封裝測試業(yè)已成為我國集成電路產(chǎn)業(yè)鏈中最具國際競爭力的環(huán)節(jié),測試設(shè)備有望率先受益于產(chǎn)能轉(zhuǎn)移。

目前國內(nèi)廠商已掌握了測試設(shè)備相關(guān)核心技術(shù),生產(chǎn)的測試機(jī)和分選機(jī)獲得長電科技、日月光等企業(yè)使用和認(rèn)可,與國外知名企業(yè)相比,國內(nèi)優(yōu)勢企業(yè)對客戶需求更為理解,服務(wù)方式更為靈活,產(chǎn)品性價比更高,具有較強(qiáng)的本土優(yōu)勢。

得益于國內(nèi)需求、政策支持、資本、人才儲備,中國半導(dǎo)體制造具備突破的基礎(chǔ)。中國IC產(chǎn)業(yè)處于“前有追趕目標(biāo),后無潛在對手”的國際格局中,“全球最大半導(dǎo)體消費(fèi)市場”的地位是中國“后發(fā)優(yōu)勢”的重要基礎(chǔ)之一。

疊加國家戰(zhàn)略、資本實力、全球主流企業(yè)及國內(nèi)外研發(fā)人才的儲備,推動硅材料、設(shè)計、制造、封裝測試及裝備實現(xiàn)國產(chǎn)化突破的基礎(chǔ)堅實而穩(wěn)固。

本土設(shè)備企業(yè)機(jī)遇與挑戰(zhàn)并存,最“壞”的時代亦是最好的時代。我們認(rèn)為,在芯片需求持續(xù)上升、國產(chǎn)化投資加快、國家戰(zhàn)略支持的大背景下,中國大陸本土半導(dǎo)體制造企業(yè)的崛起有望帶動一批本土優(yōu)秀企業(yè)共同成長,國產(chǎn)設(shè)備有望借助大陸晶圓產(chǎn)線的密集投資而實現(xiàn)滲透率提升,迎來最好的時代。

但另一方面,全球設(shè)備產(chǎn)業(yè)呈少數(shù)海外巨頭壟斷格局,中外技術(shù)實力、企業(yè)體量差距較懸殊且進(jìn)口替代時間窗口有限,未來5年或是本土半導(dǎo)體產(chǎn)能投資需求增長最快的階段,留給國產(chǎn)設(shè)備企業(yè)的時間窗口其實已不多。

我們認(rèn)為總體上國產(chǎn)設(shè)備必然受益但產(chǎn)業(yè)鏈各環(huán)節(jié)的差異會很大。國產(chǎn)化須符合最樸素商業(yè)邏輯,即技術(shù)或配套實力優(yōu)于進(jìn)口,這樣才會有持續(xù)需求,光靠補(bǔ)貼和支持難以誕生優(yōu)質(zhì)企業(yè)。因此,本土設(shè)備企業(yè)也面臨最“壞”的時代,因為唯有技術(shù)準(zhǔn)備充分的企業(yè)才能勝出。

新興藍(lán)海:汽車電子、人工智能直通未來,驅(qū)動行業(yè)不斷發(fā)展

汽車電子有望成為半導(dǎo)體行業(yè)未來最大成長動能,汽車半導(dǎo)體市場會是未來半導(dǎo)體領(lǐng)域強(qiáng)勁的芯片終端應(yīng)用市場?,F(xiàn)階段,PC、手機(jī)行業(yè)已經(jīng)較為成熟,不再是半導(dǎo)體的最大成長動能,取而代之的是許多新的應(yīng)用領(lǐng)域,汽車電子是突出代表。

隨著每輛車中的半導(dǎo)體產(chǎn)品數(shù)量增加,車用半導(dǎo)體迅速成為半導(dǎo)體產(chǎn)業(yè)最重要的市場。

目前汽車已經(jīng)成為新型電子技術(shù)的應(yīng)用載體,半導(dǎo)體在汽車中得到了越來越多的應(yīng)用。汽車半導(dǎo)體所涉及到的技術(shù)包括功率IC、IGBT、CMOS等,應(yīng)用于車載娛樂系統(tǒng)、ADAS輔助駕駛系統(tǒng)、HMI顯示系統(tǒng)、電動馬達(dá)控制、燈光控制、電動車的電源管理系統(tǒng)等多處車載功能模塊或器件。

IHS數(shù)據(jù)顯示,2015年全球汽車半導(dǎo)體市場的總體規(guī)模約為290億美元,預(yù)計2013~2018年,車用半導(dǎo)體的產(chǎn)值將會以每年10.8%的速度快速增長。這一成長態(tài)勢來自于市場對于車用電子系統(tǒng)的需求日益增加。

人工智能浪潮勢不可擋,推動IC市場繼續(xù)成長。

物聯(lián)網(wǎng)、大數(shù)據(jù)、工業(yè)4.0、VR/AR和自動駕駛汽車等一系列新興市場正在加速人工智能(AI)的時代變革,半導(dǎo)體和半導(dǎo)體加工技術(shù)將在AI革命中發(fā)揮關(guān)鍵作用。

人工智能可被廣泛應(yīng)用于汽車、娛樂、金融、教育、醫(yī)療、制造業(yè)、交通等各個行業(yè)。

展望未來,人工智能可稱為應(yīng)對一些社會核心挑戰(zhàn)的強(qiáng)大工具,在醫(yī)療領(lǐng)域,人工智能將大幅提升我們分析人類基因組和為患者開發(fā)個性化治療方案的能力,甚至大大加快治愈癌癥、阿茲海默癥和其他疾病的進(jìn)程。

在環(huán)保領(lǐng)域,人工智能能夠分析氣候特征并大規(guī)模降低能耗,幫助人類更好地監(jiān)控和應(yīng)對氣候變化問題。

人工智能甚至可以在地球以外地區(qū)發(fā)揮作用,他日或助力人類探索火星及外太空。

2016~2025年全球人工智能市場規(guī)模復(fù)合增速或達(dá)50.7%。據(jù)Statista估計2017年人工智能市場規(guī)模約12.5億美元,增速約達(dá)95%;到2025年市場規(guī)模或?qū)⑦_(dá)369億美元。

目前人工智能主要應(yīng)用在圖像識別、物品識別、檢測和歸類還有自動化的地球物理學(xué)特征分析等。人工智能產(chǎn)業(yè)最大的一塊收入來自企業(yè)級的應(yīng)用市場。

全球市場:周期回暖+創(chuàng)新驅(qū)動,半導(dǎo)體行業(yè)有望進(jìn)入穩(wěn)健成長期

半導(dǎo)體行業(yè)整體增速與全球GDP增速正相關(guān),但波動更劇烈。半導(dǎo)體行業(yè)雖然有科技革命驅(qū)動,但也會受到全球經(jīng)濟(jì)的影響。假如經(jīng)濟(jì)下行,電子產(chǎn)品消費(fèi)減少,半導(dǎo)體行業(yè)就會呈現(xiàn)衰落的態(tài)勢。

通過數(shù)據(jù),我們可以發(fā)現(xiàn)過去的十年,半導(dǎo)體市場的波動性較大,且與全球經(jīng)濟(jì)的景氣度密切相關(guān)。

據(jù)Gartner數(shù)據(jù),2008年經(jīng)濟(jì)危機(jī)發(fā)生后,2009年全球GDP下降2.2%,半導(dǎo)體產(chǎn)業(yè)總產(chǎn)值下降10.7%;而隨著經(jīng)濟(jì)復(fù)蘇信號的出現(xiàn),2010年全球GDP增長4%,半導(dǎo)體產(chǎn)業(yè)總產(chǎn)值大幅增加31.8%。

我們認(rèn)為,關(guān)注半導(dǎo)體產(chǎn)業(yè)也要關(guān)注全球經(jīng)濟(jì)形勢,在目前歐美國家經(jīng)濟(jì)回暖的趨勢下,未來全球半導(dǎo)體產(chǎn)業(yè)也會繼續(xù)保持良好的成長性。

2017年全球半導(dǎo)體銷售規(guī)模突破4000億美元,大增21.6%,2018年或突破4500億美元。

隨著全球經(jīng)濟(jì)的逐步復(fù)蘇,PC、手機(jī)、液晶電視等消費(fèi)類電子產(chǎn)品需求不斷增加,新興應(yīng)用領(lǐng)域(物聯(lián)網(wǎng)、可穿戴設(shè)備、云計算、大數(shù)據(jù)、新能源、醫(yī)療電子和安防電子等)需求強(qiáng)勁,并且存儲器價格大幅上漲,據(jù)WSTS數(shù)據(jù),2017年全球半導(dǎo)體銷售規(guī)模達(dá)到4,122億美元/yoy+21.6%,2014~2017年CAGR約為7.8%。

據(jù)WSTS預(yù)測,2018年全球半導(dǎo)體市場規(guī)模有望增長至4,512億美元/yoy+9.5%,市場景氣度有望延續(xù),再創(chuàng)歷史新高。

半導(dǎo)體產(chǎn)業(yè)遵循螺旋式上升規(guī)律,新科技推動行業(yè)屢獲新生,目前半導(dǎo)體行業(yè)或處于一個上升期的起點(diǎn)。

可以看出,半導(dǎo)體行業(yè)雖然并不是始終增長,但是在過去10年內(nèi)遵循一個螺旋式上升的過程,放緩或衰落后又會重新經(jīng)歷一次更強(qiáng)勁的復(fù)蘇。

半導(dǎo)體行業(yè)在過去都遵循著摩爾定律,晶體管密度每隔18-24個月便會增加一倍,電子產(chǎn)品的性能也會提升一倍。

信息技術(shù)的進(jìn)步是背后的主要驅(qū)動力,伴隨著電子產(chǎn)品在人類生活的更廣泛普及以及智能化,汽車電子和AI的革命為整個行業(yè)的下一輪進(jìn)化提供了動力,半導(dǎo)體行業(yè)有望長期保持旺盛的生命力。

產(chǎn)品結(jié)構(gòu):2017年集成電路約占八成,存儲器是銷售規(guī)模增長的最大動因

2017年全球半導(dǎo)體市場中集成電路銷售額約占83%,集成電路銷售額同比增長24%,位居半導(dǎo)體細(xì)分產(chǎn)品中增速最高的板塊。

從產(chǎn)品的功能分,半導(dǎo)體市場主要分為集成電路、光電器件、分立器件和傳感器四大類。

據(jù)WSTS的數(shù)據(jù),2017年集成電路、光電子、分立器件和傳感器的市場規(guī)模分別為3431億美元、348億美元、217億美元、126億美元,占比分別為83%、9%、5%、3%;相較于2016年,集成電路增長24%,傳感器增長16%,分立器件增長12%,光電器件成長9%。

存儲器市場回暖價格上漲是2017年全球半導(dǎo)體市場最大的增長動因。

進(jìn)一步細(xì)分,集成電路包括邏輯芯片、存儲芯片、處理器芯片和模擬芯片四種。根據(jù)WSTS的數(shù)據(jù),2017年邏輯芯片、存儲芯片、處理器芯片和模擬芯片市場規(guī)模分別為1022億美元、1240億美元、639億美元和530億美元,在整個集成電路市場的比例為30%、36%、19%、15%。

2017年邏輯芯片、存儲芯片、處理器芯片和模擬芯片的銷售額較2016年分別增長12%、62%、6%、11%。存儲芯片的增速十分亮眼,我們認(rèn)為,供不應(yīng)求的供需關(guān)系和全球壟斷的市場格局是推動存儲芯片屢創(chuàng)新高的原因。

2017年半導(dǎo)體銷售額一改過去兩年平穩(wěn)的態(tài)勢,主要原因是DRAM與NAND的銷售額上揚(yáng)。

2015年,由于PC市場低迷導(dǎo)致庫存過多,存儲器產(chǎn)品價格大跌,這種狀態(tài)一直持續(xù)到2016年第二季度。

此后隨著庫存的消化,2016年下半年存儲器市場緊俏,價格回升,帶動全球半導(dǎo)體市場逐步回升。

據(jù)WSTS數(shù)據(jù),2017年存儲器銷售額高達(dá)1,240億美元,年增幅度高達(dá)61.5%,其中DRAM銷售大增76.8%、NANDFlash也大增47.5%;其他增幅較大的半導(dǎo)體器件包括整流器(rectifier)(同比增長18.3%)、diodes(同比增長16.4%)、傳感器和制動器(同比增長16.2%)。

半導(dǎo)體行業(yè)在2017年的高成長性是過去十年中是十分罕見的,除了存儲器供不應(yīng)求導(dǎo)致漲價外,汽車電子與物聯(lián)網(wǎng)等新興行業(yè)需求也是背后重要的推手。

據(jù)WSTS估計,若剔除存儲器的影響,2017年其他半導(dǎo)體器件的合并銷售也成長將近10%。我們認(rèn)為,這個成長速度相對于半導(dǎo)體行業(yè)的體量來說已經(jīng)較為可觀:存儲器外其他器件的成長性反應(yīng)了整個半導(dǎo)體行業(yè)在經(jīng)歷了增速放緩后,又重新找到了動力。

2018年集成電路銷售有望持續(xù)增長,增速或達(dá)10%。WSTS預(yù)計2018年存儲器芯片市場的規(guī)模將增長11.6%,模擬芯片和邏輯芯片的市場規(guī)模也將分別增長8.1%和9.3%,處理器芯片的市場規(guī)模將增長7.1%。

雖然2018年存儲器芯片市場增速或?qū)⒉蝗?017年強(qiáng)勁,但供給仍然偏緊,我們認(rèn)為存儲器仍會帶動半導(dǎo)體市場繼續(xù)成長。

地區(qū)分布:中國既是全球最大消費(fèi)市場,也是全球最大進(jìn)口市場

過去二十年全球半導(dǎo)體市場消費(fèi)格局不斷變遷,以中國市場為核心的亞太地區(qū)(除日本)2017年占比已達(dá)60%,中國占比32%。

分地區(qū)而言,受全球制造業(yè)產(chǎn)業(yè)重心轉(zhuǎn)移等因素影響,亞太地區(qū)(除日本)已成為2000~2017年間全球半導(dǎo)體市場增長最為迅猛的區(qū)域,2000年該地區(qū)僅僅占全球25%份額,2017年該地區(qū)半導(dǎo)體市場銷售規(guī)模達(dá)2488億美元,占全球市場規(guī)模的60%(中國占32%),其次為北美(22%)、歐洲(9%)和日本(9%)。

WSTS預(yù)測2018年亞太地區(qū)(除日本)仍將保持穩(wěn)定增長,市場規(guī)模將達(dá)2681億美元。

中國已穩(wěn)居為全球最大的半導(dǎo)體消費(fèi)市場。近十余年來隨著全球集成電路市場逐漸步入成熟發(fā)展階段,全球產(chǎn)業(yè)增速有所放緩,然而與此同時,伴隨著我國經(jīng)濟(jì)的高速發(fā)展,我國智能手機(jī)、平板電腦、汽車電子、工業(yè)控制、儀器儀表以及智能照明、智能家居等物聯(lián)網(wǎng)市場快速發(fā)展,尤其智能手機(jī)和平板電腦市場快速增長,我國對各類集成電路產(chǎn)品需求不斷增長,2017年我國半導(dǎo)體消費(fèi)市場規(guī)模在全球市場中所占比已達(dá)32%。

2017年我國集成電路銷售規(guī)模已破5000億元,2011~2017年復(fù)合增速達(dá)19%。

我國集成電路市場雖起步較晚,但受益于國家大力支持及全球集成電路產(chǎn)業(yè)向我國轉(zhuǎn)移趨勢加快,我國集成電路產(chǎn)業(yè)發(fā)展速度明顯快于全球水平。

2008、2009年受到全球金融危機(jī)和全球半導(dǎo)體產(chǎn)業(yè)持續(xù)低迷的影響,我國集成電路市場規(guī)模連續(xù)兩年呈負(fù)增長,分別下降1%和11%。

2010年以后受益于世界消費(fèi)能力釋放、全球半導(dǎo)體市場短暫復(fù)蘇及我國相關(guān)政策的支持,我國集成電路銷售收入大幅回升。2011~2017年中國集成電路銷售規(guī)模從1933億元提升至5411億元,復(fù)合年增長率達(dá)19%,市場增速可觀。

2017年中國集成電路產(chǎn)業(yè)銷售額同比增長25%;其中,集成電路制造業(yè)增速最快,2017年同比增長29%,銷售額達(dá)到1448億元,設(shè)計業(yè)和封測業(yè)繼續(xù)保持快速增長,增速分別為26%和21%,銷售額分別為2074億元和1890億元。(本段數(shù)據(jù)來源均為中國半導(dǎo)體行業(yè)協(xié)會)

我們預(yù)計2018年中國集成電路產(chǎn)業(yè)銷售規(guī)模有望超過6000億元,2020年規(guī)模有望達(dá)9000億元級別。根據(jù)《國家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》,到2020年中國集成電路全行業(yè)銷售收入年均增速超過20%。

在我國工業(yè)化和信息化融合持續(xù)深入、信息消費(fèi)不斷升溫、智慧城市建設(shè)加速等多方因素的共同帶動下,同時隨著云計算、大數(shù)據(jù)、物聯(lián)網(wǎng)等領(lǐng)域的逐步成熟,考慮到過去四年增速保持20%以上,2011~2017年復(fù)合增速達(dá)19%,以及《國家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》的目標(biāo)增速和國家集成電路產(chǎn)業(yè)投資基金的大力推動,我們認(rèn)為2018~2020年中國集成電路產(chǎn)業(yè)銷售規(guī)模有望保持20%左右的增速。

中國是全球最大集成電路進(jìn)口市場,作為電子信息產(chǎn)業(yè)的核心,“中國芯”的進(jìn)口依賴嚴(yán)重影響我國信息產(chǎn)業(yè)安全,我國芯片的國產(chǎn)化需求強(qiáng)烈。

我國集成電路市場仍嚴(yán)重依賴進(jìn)口,中國半導(dǎo)體行業(yè)協(xié)會統(tǒng)計2015年我國集成電路消費(fèi)市場自給率僅為30%,約70%依賴進(jìn)口。

2017年,集成電路產(chǎn)品進(jìn)口金額達(dá)到2588億美元,已經(jīng)替代原油成為我國第一大進(jìn)口商品。

英特爾(Intel)、三星(Samsung)、高通(Qualcomm)等為代表的國際先進(jìn)企業(yè)在技術(shù)、產(chǎn)品、上下游和市場等方面擁有雄厚的綜合實力,占據(jù)著我國芯片市場主要份額。

產(chǎn)業(yè)趨勢:投資不斷攀高,行業(yè)日趨集中,業(yè)界強(qiáng)者恒強(qiáng)

集成電路產(chǎn)業(yè)是資本密集型、技術(shù)密集型產(chǎn)業(yè)。

從工業(yè)上講,集成電路的集成度不斷提高,現(xiàn)已經(jīng)發(fā)展到過億門電路數(shù)量級。一款芯片的設(shè)計和研發(fā)往往需要花費(fèi)1~2年甚至更長的時間,企業(yè)需要為此投入大量的人力、物力、財力。

半導(dǎo)體產(chǎn)品的工藝和制造技術(shù)難度高、技術(shù)研發(fā)周期較長,這需要長時間的技術(shù)積累,短時間的高速增長難以實現(xiàn)技術(shù)趕超。受摩爾定律的約束,每個廠商都會持續(xù)推動創(chuàng)新,半導(dǎo)體的投資額最近幾年也不斷突破更高的水平。

據(jù)Gartner數(shù)據(jù),2017年全球半導(dǎo)體資本支出為970億美金,創(chuàng)過去5年新高。Gartner預(yù)計,2018、2019年全球半導(dǎo)體資本支出將分別增長9.0%和5.0%,資本支出金額分別為1057.3億美元和1110億美元。全球半導(dǎo)體的資本支出的不斷增長,也是行業(yè)進(jìn)入門檻較高的一種體現(xiàn),民間資本往往并不青睞于投資這樣的領(lǐng)域,需要政府牽頭帶動。

據(jù)Gartner數(shù)據(jù),2017年全球半導(dǎo)體行業(yè)資本支出營收占比為23.5%,占比較2016年提升1.8pct,整體行業(yè)的資本支出在提高。從2008年到2016年,除了09年金融危機(jī)時,行業(yè)的資本支出相較營收收入比例有所下調(diào),行業(yè)的資本投入占收入的比例在20%附近波動。

這個比例說明企業(yè)會根據(jù)銷售額來制定資本支出計劃,未來行業(yè)的銷售額仍將繼續(xù)成長,我們認(rèn)為行業(yè)的資本支出仍然將不斷成長。而小型企業(yè)因為投入較少拖慢研發(fā),會漸漸被淘汰,大的企業(yè)會越來越有優(yōu)勢,行業(yè)集中度會不斷提高。單一的小企業(yè)很難與行業(yè)巨頭抗?fàn)?,需要國家層面的統(tǒng)一建設(shè),才能打破現(xiàn)有的行業(yè)格局。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 集成電路
    +關(guān)注

    關(guān)注

    5372

    文章

    11259

    瀏覽量

    359880
  • 半導(dǎo)體
    +關(guān)注

    關(guān)注

    334

    文章

    26671

    瀏覽量

    212992

原文標(biāo)題:一文看懂全球半導(dǎo)體格局

文章出處:【微信號:AItists,微信公眾號:人工智能學(xué)家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    半導(dǎo)體封裝材料全解析:分類、應(yīng)用與發(fā)展趨勢!

    在快速發(fā)展半導(dǎo)體行業(yè)中,封裝技術(shù)作為連接芯片與外部世界的橋梁,扮演著至關(guān)重要的角色。半導(dǎo)體封裝材料作為封裝技術(shù)的核心組成部分,不僅保護(hù)著脆弱的芯片免受外界環(huán)境的侵害,還確保了芯片與電路板之間的穩(wěn)定
    的頭像 發(fā)表于 09-10 10:13 ?1075次閱讀
    <b class='flag-5'>半導(dǎo)體</b>封裝材料全<b class='flag-5'>解析</b>:分類、應(yīng)用與<b class='flag-5'>發(fā)展</b>趨勢!

    半導(dǎo)體市場格局變動,英特爾滑落至第四

    近日,全球半導(dǎo)體市場格局發(fā)生重大變動,據(jù)世界半導(dǎo)體貿(mào)易統(tǒng)計組織(WSTS)最新數(shù)據(jù)顯示,2024年第二季度全球
    的頭像 發(fā)表于 08-23 16:25 ?416次閱讀

    全球半導(dǎo)體TOP15最新排名出爐,看中國:差距、機(jī)遇與崛起之路!

    在科技日新月異的今天,半導(dǎo)體產(chǎn)業(yè)作為信息技術(shù)的基礎(chǔ)和核心,其發(fā)展狀況直接影響著全球科技產(chǎn)業(yè)的競爭格局。近期,英國品牌評估機(jī)構(gòu)“品牌金融”(Brand Finance)發(fā)布了2024年“
    的頭像 發(fā)表于 08-23 11:21 ?1038次閱讀
    從<b class='flag-5'>全球</b><b class='flag-5'>半導(dǎo)體</b>TOP15最新排名出爐,看中國:差距、機(jī)遇與崛起之路!

    中國半導(dǎo)體產(chǎn)業(yè)的十大技術(shù)“瓶頸”解析

    半導(dǎo)體技術(shù)是現(xiàn)代電子科技的核心,它的發(fā)展水平直接體現(xiàn)了一個國家的科技實力。近年來,我國半導(dǎo)體產(chǎn)業(yè)雖然取得了長足進(jìn)步,但仍有一些核心技術(shù)尚未完全掌握。本文將詳細(xì)解析我國在
    的頭像 發(fā)表于 06-06 10:09 ?1529次閱讀
    中國<b class='flag-5'>半導(dǎo)體</b>產(chǎn)業(yè)的十大技術(shù)“瓶頸”<b class='flag-5'>解析</b>

    喜訊 | MDD辰達(dá)半導(dǎo)體榮獲藍(lán)點(diǎn)獎“最具投資價值獎”

    高可靠性、高性能產(chǎn)品服務(wù)矩陣。公司先后榮獲“國家高新技術(shù)企業(yè)”和“深圳專精特新企業(yè)”稱號,產(chǎn)品暢銷全球40多個國家和地區(qū),累計超20000家客戶選擇MDD辰達(dá)半導(dǎo)體,涵蓋新能源汽車、工業(yè)控制、消費(fèi)
    發(fā)表于 05-30 10:41

    2024年全球半導(dǎo)體產(chǎn)業(yè)發(fā)展態(tài)勢解析

    本文由半導(dǎo)體產(chǎn)業(yè)縱橫(ID:ICVIEWS)編譯自semiconductorintelligence2024年開局緩慢,但已為增長做好準(zhǔn)備。根據(jù)WSTS的數(shù)據(jù),2024年第一季度全球半導(dǎo)體市場規(guī)模為
    的頭像 發(fā)表于 05-30 08:27 ?5472次閱讀
    2024年<b class='flag-5'>全球</b><b class='flag-5'>半導(dǎo)體</b>產(chǎn)業(yè)<b class='flag-5'>發(fā)展</b>態(tài)勢<b class='flag-5'>解析</b>

    2024北京(國際)第三代半導(dǎo)體創(chuàng)新發(fā)展論壇即將召開

    第三代半導(dǎo)體全球半導(dǎo)體技術(shù)研究和新的產(chǎn)業(yè)競爭焦點(diǎn),具有戰(zhàn)略性和市場性雙重特征,是推動移動通信、新能源汽車、高速列車、智能電網(wǎng)、新型顯示、通信傳感等產(chǎn)業(yè)創(chuàng)新發(fā)展和轉(zhuǎn)型升級的新引擎,有望
    的頭像 發(fā)表于 05-20 10:15 ?694次閱讀
    2024北京(國際)第三代<b class='flag-5'>半導(dǎo)體</b>創(chuàng)新<b class='flag-5'>發(fā)展</b>論壇即將召開

    SEMI:全球半導(dǎo)體下半年有望全面復(fù)蘇

    SEMI指出,受到全球人工智能(AI)與高速運(yùn)算(HPC)需求的拉動,以及消費(fèi)電子需求逐漸復(fù)蘇的背景下,雖然汽車和工業(yè)需求有所下降,但整體看來,今年上半年全球半導(dǎo)體行業(yè)正逐步恢復(fù)活力,預(yù)計下半年有望實現(xiàn)
    的頭像 發(fā)表于 05-18 15:01 ?583次閱讀

    全球半導(dǎo)體產(chǎn)業(yè)格局生變

    SEMI首席分析師曾瑞榆預(yù)測,半導(dǎo)體銷售額預(yù)計將在2024年和2025年實現(xiàn)兩位數(shù)增長。其中,半導(dǎo)體設(shè)備和材料市場將在2024年出現(xiàn)改善,隨后在2025年強(qiáng)勁復(fù)蘇。另外,中國對成熟技術(shù)的投資將保持強(qiáng)勁,高帶寬內(nèi)存(HBM)、全環(huán)繞柵極(GAA)晶體管和先進(jìn)封裝正成為當(dāng)前業(yè)
    的頭像 發(fā)表于 04-29 17:19 ?544次閱讀

    Syensqo加入全球半導(dǎo)體氣候聯(lián)盟(SCC)

    致力于攜手全行業(yè)的客戶和合作伙伴,加速推進(jìn)整個價值鏈的可持續(xù)發(fā)展。 Syensqo特種聚合物全球事業(yè)部總裁Peter Browning表示:“半導(dǎo)體在綠色轉(zhuǎn)型方面發(fā)揮著至關(guān)重要的作用,雖然它位于新技術(shù)的核心,但它的制造卻屬于資源
    的頭像 發(fā)表于 04-26 11:27 ?502次閱讀
    Syensqo加入<b class='flag-5'>全球</b><b class='flag-5'>半導(dǎo)體</b>氣候聯(lián)盟(SCC)

    半導(dǎo)體發(fā)展的四個時代

    臺積電的 Suk Lee 發(fā)表了題為“摩爾定律和半導(dǎo)體行業(yè)的第四個時代”的主題演講。Suk Lee表示,任何試圖從半導(dǎo)體行業(yè)傳奇而動蕩的歷史中發(fā)掘出一些意義的事情都會引起我的注意。正如臺積電所解釋
    發(fā)表于 03-27 16:17

    半導(dǎo)體發(fā)展的四個時代

    臺積電的 Suk Lee 發(fā)表了題為“摩爾定律和半導(dǎo)體行業(yè)的第四個時代”的主題演講。Suk Lee表示,任何試圖從半導(dǎo)體行業(yè)傳奇而動蕩的歷史中發(fā)掘出一些意義的事情都會引起我的注意。正如臺積電所解釋
    發(fā)表于 03-13 16:52

    解析半導(dǎo)體放電管TSS的原理與應(yīng)用?

    解析半導(dǎo)體放電管TSS的原理與應(yīng)用?|深圳比創(chuàng)達(dá)電子
    的頭像 發(fā)表于 01-25 10:09 ?606次閱讀
    <b class='flag-5'>解析</b><b class='flag-5'>半導(dǎo)體</b>放電管TSS的原理與應(yīng)用?

    未來10年傳感器怎么發(fā)展?美國半導(dǎo)體協(xié)會發(fā)布最新MEMS路線圖

    簡稱“MAPT”)。 MAPT全文共11章,多達(dá)211頁,全面分析了未來10年全球半導(dǎo)體產(chǎn)業(yè)的主要應(yīng)用需求,以及半導(dǎo)體各細(xì)分行業(yè)未來的技術(shù)進(jìn)步和發(fā)展
    的頭像 發(fā)表于 11-15 08:44 ?677次閱讀
    未來10年傳感器怎么<b class='flag-5'>發(fā)展</b>?美國<b class='flag-5'>半導(dǎo)體</b>協(xié)會發(fā)布最新MEMS路線圖

    全球FPGA市場現(xiàn)狀和發(fā)展前景展望

    全球FPGA市場現(xiàn)狀和發(fā)展前景展望 當(dāng)今,半導(dǎo)體市場格局已成三足鼎立之勢,F(xiàn)PGA,ASIC和ASSP三分天下。市場統(tǒng)計數(shù)據(jù)表明,F(xiàn)PGA已經(jīng)逐步侵蝕ASIC和ASSP的傳統(tǒng)市場,并處
    發(fā)表于 11-08 17:19