0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

2018年IC年度關(guān)鍵詞,你都知道幾個(gè)?

電子工程師 ? 來源:lq ? 2019-01-15 17:35 ? 次閱讀

毫無疑問,我們正身處在科技的時(shí)代里。

2018年,科技一詞持續(xù)升溫,而在眾多的科技熱詞當(dāng)中,5G、AI、柔性屏、屏下指紋識(shí)別等,已然逐漸明朗,即將隆重登場(chǎng)。

年度關(guān)鍵詞:5G

第五代移動(dòng)通信系統(tǒng)簡(jiǎn)稱5G,是4G系統(tǒng)后的延伸。

目前,全球運(yùn)營(yíng)商正在緊鑼密鼓的進(jìn)行5G商用部署,截止2018年11月,全球已有182個(gè)運(yùn)營(yíng)商在78個(gè)國(guó)家進(jìn)行了5G試驗(yàn)、部署和投資。

我國(guó)三大運(yùn)營(yíng)商的第一批5G網(wǎng)絡(luò)試點(diǎn)城市包括12座,其中中國(guó)聯(lián)通試點(diǎn)7座城市,中國(guó)電信試點(diǎn)6座城市,中國(guó)移動(dòng)試點(diǎn)5座城市;韓國(guó)預(yù)計(jì)將在2019年開啟規(guī)模商用;歐洲地區(qū)則將以產(chǎn)業(yè)互聯(lián)網(wǎng)探索為主,預(yù)計(jì)個(gè)別激進(jìn)運(yùn)營(yíng)商將在2019年試商用。據(jù)統(tǒng)計(jì),5G首輪商用將覆蓋全球1/3的人口。

面對(duì)未來多種多樣的應(yīng)用場(chǎng)景,5G需要應(yīng)對(duì)差異化的挑戰(zhàn),滿足不同場(chǎng)景、不同用戶的不同需求。國(guó)際電信聯(lián)盟ITU召開的ITURWP5D第22次會(huì)議上,確定了未來5G應(yīng)具有的三大類使用情景:增強(qiáng)型移動(dòng)寬帶eMBB、超高可靠與低延遲的通信uRLLC和大規(guī)模(海量)機(jī)器類通信mMTC,前者主要關(guān)注移動(dòng)通信,后兩者則側(cè)重于物聯(lián)網(wǎng)。

年度關(guān)鍵詞:AI

人工智能(Artificial Intelligence),英文縮寫為AI。人工智能是包括十分廣泛的科學(xué),它由不同的領(lǐng)域組成,如機(jī)器學(xué)習(xí),計(jì)算機(jī)視覺等等。

CB Insights指出,2018年,人工智能無處不在,或者更確切地說,機(jī)器學(xué)習(xí)將無處不在??梢哉f,這項(xiàng)技術(shù)幾乎“無所不能”,并將在2018年創(chuàng)造出無限可能。據(jù)前瞻產(chǎn)業(yè)研究院的報(bào)告指出,預(yù)計(jì)到2020年,中國(guó)人工智能核心產(chǎn)業(yè)規(guī)模將超過1600億元,增長(zhǎng)率達(dá)到26.2%。

衡量產(chǎn)業(yè)發(fā)展成熟度的另一維度是論文產(chǎn)出量。1998年至2018年間,全球人工智能領(lǐng)域論文產(chǎn)出量最多是美國(guó),達(dá)14.91萬篇,中國(guó)以14.18萬篇緊隨其后,英國(guó)、德國(guó)、印度分列三至五位。

在AI領(lǐng)域,中國(guó)正努力超越美國(guó)和其他西方國(guó)家,政府已經(jīng)投入了大量精力和資金。2017年中國(guó)人工智能初創(chuàng)公司投入在全球占比48%,并首次超越美國(guó)占據(jù)榜首。

年度關(guān)鍵詞:邊緣計(jì)算

根據(jù)B2B分析師MarketsandMarkets的數(shù)據(jù),到2022年,邊緣計(jì)算市場(chǎng)的價(jià)值將達(dá)到67.2億美元,高于2017年的14.7億美元,年復(fù)合增長(zhǎng)率35.4%。關(guān)鍵驅(qū)動(dòng)因素是物聯(lián)網(wǎng)和5G網(wǎng)絡(luò)的出現(xiàn),“智能”應(yīng)用程序數(shù)量的增加以及云基礎(chǔ)架構(gòu)負(fù)載的增加。

自動(dòng)駕駛汽車到農(nóng)業(yè),以下幾個(gè)行業(yè)將會(huì)從邊緣計(jì)算的潛力中獲益:交通運(yùn)輸、醫(yī)療保健、制造業(yè)、農(nóng)業(yè)和智能農(nóng)場(chǎng)、能源和電網(wǎng)控制等。

同時(shí),2018年中國(guó)邊緣計(jì)算聯(lián)盟會(huì)員單位超過200多家,三大運(yùn)營(yíng)商也加入進(jìn)來,邊緣計(jì)算開始從概念走向現(xiàn)實(shí)。

年度關(guān)鍵詞:柔性屏

柔性屏幕,指的是柔性OLED。柔性屏幕的成功量產(chǎn)不僅重大利好于新一代高端智能手機(jī)的制造,也因其低功耗、可彎曲的特性對(duì)可穿戴式設(shè)備的應(yīng)用帶來深遠(yuǎn)的影響,未來柔性屏幕將隨著個(gè)人智能終端的不斷滲透而廣泛應(yīng)用。

今年10月底,世界上第一部柔性屏手機(jī)正式問世,但是這款手機(jī)并非來自與三星蘋果這樣的大型公司,而是來自于中國(guó)的柔宇科技,目前這款手機(jī)并未在市面發(fā)售,但是從召開的發(fā)布會(huì)來看,柔性屏的適用范圍是非常廣的。

FlexPai發(fā)布的意義將不僅僅是一款手機(jī)這么簡(jiǎn)單,而是革命性地構(gòu)建了全新的人機(jī)交互方式。而且與全球范圍內(nèi)絕大多數(shù)手機(jī)企業(yè)采用第三方供應(yīng)的屏幕不同,F(xiàn)lexPai采用柔宇科技完全自主研發(fā)并在全球率先量產(chǎn)的全柔性顯示屏--柔宇“蟬翼”柔性屏,是全球首款真正的可折疊柔性屏智能手機(jī)。

年度關(guān)鍵詞:挖孔屏

自從手機(jī)廠商們對(duì)全面屏出現(xiàn)了執(zhí)念之后,全面屏似乎朝著一個(gè)越來越奇怪的方向發(fā)展。那就是幾乎不計(jì)一切代價(jià)的提高屏占比,哪怕只提高一點(diǎn)點(diǎn)。除了蘋果,消滅劉海屏是各大手機(jī)廠商的主要設(shè)計(jì)方向,相比所謂的滑屏設(shè)計(jì)、升降升級(jí),挖孔屏最大限度得避免了耐久度和手機(jī)厚度方面的擔(dān)憂,于是挖孔屏成為了廠商新的選擇。

三星在11月初就已經(jīng)展示了旗下的水滴屏方案,明年二月份發(fā)布的三星Galaxy10和即將發(fā)布的三星Galaxy A8s手機(jī)都將使用這種名叫“Infinity-O”的挖孔屏設(shè)計(jì)。此外,華為也推出了一款類似Infinity-O的挖孔屏手機(jī),并且取名“極點(diǎn)全面屏”,這款手機(jī)就是Nova 4。

年度關(guān)鍵詞:屏下指紋技術(shù)

屏下指紋技術(shù),是近兩年來興起的全新生物識(shí)別方式。

目前屏下指紋識(shí)別方案有兩種,一種是以Synaptics以及匯頂科技為代表的光學(xué)屏下指紋技術(shù),另一種則是以高通為代表的超聲波屏下指紋技術(shù),目前已經(jīng)商用了光學(xué)屏下指紋技術(shù),而超聲波屏下指紋至今還未商用。

2018年第一季度,第一款在顯示屏下嵌入指紋掃描儀的商用手機(jī)將進(jìn)入市場(chǎng)。令人意外的是,蘋果和三星將不是第一個(gè)使用該技術(shù)的公司,而是中國(guó)公司Vivo。此外,蘋果、三星、華為、魅族等廠商在這方面也都有所布局。

年度關(guān)鍵詞:存儲(chǔ)器

在2018年,存儲(chǔ)器市場(chǎng)可謂是經(jīng)歷了大起大落。2017年供不應(yīng)求的局面使得2018年初到年中,都是存儲(chǔ)器廠商最風(fēng)光的時(shí)候。三大存儲(chǔ)器產(chǎn)商(三星、海力士、美光)的財(cái)報(bào)皆是風(fēng)光無限。

在年中,IC Insights還預(yù)測(cè)2018年半導(dǎo)體資本支出總額將增至1020億美元,其中的一大半都投給了存儲(chǔ)器行業(yè)。而縱觀全年,動(dòng)態(tài)隨機(jī)存取內(nèi)存(DRAM)市場(chǎng)會(huì)成長(zhǎng)39%,儲(chǔ)存型閃存(NAND Flash)市場(chǎng)也會(huì)成長(zhǎng)18%。

誰(shuí)知畫風(fēng)一轉(zhuǎn),在年末,市場(chǎng)又一致不被看好,美國(guó)花旗銀行就預(yù)測(cè)明年存儲(chǔ)器價(jià)格會(huì)大幅下降,NAND價(jià)格會(huì)跌45%,DRAM則要下跌30%,而且明年Q2季度也不會(huì)見底。

造成過山車一般行情的原因,存儲(chǔ)器廠商的過度投資是一方面,需求降溫、全球經(jīng)濟(jì)的不確定性也成為重要原因之一。細(xì)數(shù)起來,數(shù)據(jù)中心的大規(guī)模建設(shè)告一段落,手機(jī)的換代潮未到來,以及中美貿(mào)易戰(zhàn),都是行情轉(zhuǎn)換的重要推手。

不過,也不必悲觀,無論是AI、5G還是汽車電子,都離不開存儲(chǔ)器的革新,長(zhǎng)期來看,存儲(chǔ)器還是有極其美好的未來。

年度關(guān)鍵詞:RISC-V

8年前在加州大學(xué)伯克利分校創(chuàng)建的RISC-V,以開源的特性、極簡(jiǎn)的架構(gòu)與模塊化哲學(xué),被業(yè)界寄予厚望。

而最大的訴求是RISC-V是否有望改變現(xiàn)有的由Arm和Intel X86主導(dǎo)的處理器架構(gòu)競(jìng)爭(zhēng)格局?過去數(shù)年,Arm依賴于在智能手機(jī)處理器市場(chǎng)的絕對(duì)壟斷,MCU市場(chǎng)的持續(xù)開拓構(gòu)筑壁壘;X86架構(gòu)則在桌面PC和服務(wù)器芯片橫掃,而RISC-V這一新生力量能否成就這一使命,并為國(guó)內(nèi)處理器IP帶來“自主可控”的發(fā)展契機(jī)?

就在今年6月,Arm還建立專門的網(wǎng)站riscv-basics.com對(duì)RISC-V從“成本、生態(tài)系統(tǒng)、碎片化風(fēng)險(xiǎn)、安全性和設(shè)計(jì)保證”五個(gè)方面進(jìn)行攻擊,彰顯出Arm對(duì)RISC-V這個(gè)潛在對(duì)手的高度重視。而且MIPS最近也將其一些指令集開源,亦足以表明RISC-V的潛能。

從目前來看,2016年成立的RISC-V基金會(huì)已吸引了眾多高手,包括IBM、NXP、西部數(shù)據(jù)、英偉達(dá)、高通、三星、谷歌、特斯拉、華為、中天微、中興微、阿里、高云、中科院計(jì)算所等國(guó)內(nèi)外150多家企業(yè)與科研機(jī)構(gòu)已然加入。此外,今年9月中國(guó)RISC-V產(chǎn)業(yè)聯(lián)盟成立,由芯原控股、芯來科技、上海賽昉科技(SiFive China)、杭州中天微、北京君正、兆易創(chuàng)新、紫光展銳、地平線等單位共同發(fā)起,芯原控股擔(dān)任聯(lián)盟首任理事長(zhǎng)單位,旨在助推RISC-V產(chǎn)業(yè)生態(tài)的建設(shè),加快RISC-V 的市場(chǎng)推廣和產(chǎn)業(yè)化應(yīng)用,提升產(chǎn)業(yè)核心競(jìng)爭(zhēng)力。

值得注意的是,RISC-V雖然開源但不等于免費(fèi)。相比Arm和Intel X86數(shù)十年之功與生態(tài)之強(qiáng)大,RISC-V要想有所作為,仍有漫長(zhǎng)的路要走。比如,要想從RISC-V指令集架構(gòu)受益,對(duì)技術(shù)水平、資金投入和時(shí)間的要求都不低;RISC-V目前還處于早期的階段,沒有太多的實(shí)現(xiàn)和驗(yàn)證,先行者需要承擔(dān)更多的驗(yàn)證,測(cè)試等工作。

年度關(guān)鍵詞:7nm

在7nm的江湖,有持續(xù)精進(jìn)者,有孜孜以求者,亦有黯然退出者,在2018年上演了一場(chǎng)悲欣交集的戲劇。

臺(tái)積電是毫無懸念的領(lǐng)先者。其第一代7nm FinFET已經(jīng)在2017年第二季度進(jìn)入試產(chǎn)階段,并持續(xù)求穩(wěn),表示將繼續(xù)使用DUV光刻,然后再轉(zhuǎn)換到EUV光刻,據(jù)悉7nm EUV在2019年第一季度就會(huì)投入量產(chǎn)。

而與之交相映襯的是今年預(yù)計(jì)有50多款芯片由臺(tái)積電7nm代工,基于臺(tái)積電7nm打造的蘋果A12芯片、華為麒麟980等都已經(jīng)商用,而相較之下,三星的光輝相對(duì)黯淡,其7nm的成品仍舊是個(gè)未知數(shù)。

10月三星宣布7nm LPP量產(chǎn),且導(dǎo)入了EUV光刻技術(shù),但大規(guī)模投產(chǎn)時(shí)間仍為2019年秋季,6nm制程應(yīng)該在2020年后出現(xiàn)。

而格羅方德(GF)8月底宣布退出7nm及以下節(jié)點(diǎn)工藝研發(fā)與投資的重磅消息讓業(yè)界震驚,這是繼臺(tái)聯(lián)電之后第二家放棄10nm以下工藝的半導(dǎo)體公司,也預(yù)示著7nm及以后的工藝中只剩下臺(tái)積電、三星及英特爾三家公司了,而其中英特爾的7nm還沒影,只能確定英特爾不會(huì)退出競(jìng)賽。

為了搶占市場(chǎng)先機(jī),芯片廠商紛紛熱情推出自己的7nm芯片或處理器。在這些積極的廠商中,蘋果、華為和高通已是臺(tái)積電7nm的主力客戶,AMD、NVIDIA、Xilinx(賽靈思)和其它AI芯片客戶則瓜分其余部分。

2018的7nm 勝負(fù)已分,2019年的爭(zhēng)奪不僅將成為EUV的另一分水嶺,對(duì)于5G、GPU和AI等芯片廠商而言,更激烈的戰(zhàn)況仍在持續(xù)。

年度關(guān)鍵詞:3D結(jié)構(gòu)光

3D結(jié)構(gòu)光是獲取面部立體信息的最佳方案之一。其用途不僅在于面部識(shí)別,還可以用于美顏?zhàn)耘摹R購(gòu)物、3D打印等多項(xiàng)技術(shù);指紋識(shí)別之后,蘋果和 OPPO 看好的下一個(gè)未來。

iPhone X與OPPO FindX都搭載3D結(jié)構(gòu)光的技術(shù),可以預(yù)見的是,不管是此前蘋果的先行試水,還是現(xiàn)在 OPPO 就實(shí)際運(yùn)用需求的投入和研發(fā),3D 結(jié)構(gòu)光都有望會(huì)成為未來智能手機(jī)不可或缺的存在。

此外,華為Mate20 Pro也攜帶了自家研究的3D結(jié)構(gòu)光技術(shù),高精度人臉識(shí)別技術(shù)和支付安全技術(shù),同時(shí)支持支付寶和微信支付,通過點(diǎn)陣投影,不僅使安全識(shí)別的安全性提高了,還支持最快0.6s的解鎖速度。同時(shí)3D結(jié)構(gòu)光技術(shù)可以配合高清前置攝像頭實(shí)現(xiàn)3D建模,將現(xiàn)實(shí)中的物體變成你手機(jī)中的玩偶,可玩性極高。

年度關(guān)鍵詞:多攝

進(jìn)入2018年,智能手機(jī)行業(yè)除了全面屏、屏下指紋、3D結(jié)構(gòu)光技術(shù)之外,被提到最多就是手機(jī)的拍照方面了??梢哉f,從單攝到雙攝,再?gòu)碾p攝到三攝,智能手機(jī)的拍照水平提升經(jīng)歷了一個(gè)又一個(gè)的飛躍。

2018年將主流雙攝直接提到三攝,是華為的P系列旗艦P20 Pro手機(jī),無論是外觀設(shè)計(jì)、還是尺寸的堅(jiān)持,甚至是硬件性能的搭配,P20 Pro本身就可圈可點(diǎn)。

此外,三星還被曝光了即將發(fā)布一款搭載四攝像頭的手機(jī)——Galaxy A9s。據(jù)說三星Galaxy A9s四個(gè)攝像頭并不只是為了提升拍攝像素,而是把重心放在了拍攝體驗(yàn)上,四個(gè)攝像頭分別具有不同的像素,最上面的是一顆800萬像素的廣角攝像頭。

總結(jié)

中國(guó)科技的進(jìn)步在多個(gè)最新技術(shù)上不僅沒有落后,甚至大多數(shù)已走在世界的前列。而這些新科技未來都將擁有著龐大的數(shù)據(jù)體量、廣闊的應(yīng)用前景,相信它們的大爆發(fā)就在不遠(yuǎn)處。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 5G
    5G
    +關(guān)注

    關(guān)注

    1352

    文章

    48266

    瀏覽量

    562582
  • 柔性屏
    +關(guān)注

    關(guān)注

    1

    文章

    164

    瀏覽量

    21585
  • 邊緣計(jì)算
    +關(guān)注

    關(guān)注

    22

    文章

    3024

    瀏覽量

    48306

原文標(biāo)題:2018年IC年度關(guān)鍵詞,你都知道幾個(gè)?

文章出處:【微信號(hào):IC-008,微信公眾號(hào):半導(dǎo)體那些事兒】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    淺析2024半導(dǎo)體行業(yè)的兩大關(guān)鍵詞

    RISC-V(Reduced Instruction Set Computing – V)無疑正是當(dāng)下芯片產(chǎn)業(yè)的熱門關(guān)鍵詞!使用最開放開源協(xié)議之一的BSD,只用十就達(dá)到出貨量100億顆(ARM 指令集芯片達(dá)到相同出貨量用了近 30
    的頭像 發(fā)表于 09-19 13:01 ?366次閱讀

    探索AC自動(dòng)機(jī):多關(guān)鍵詞搜索的原理與應(yīng)用案例

    引言 目前,大多數(shù)自由文本搜索技術(shù)采用類似于Lucene的策略,通過解析搜索文本為各個(gè)組成部分來定位關(guān)鍵詞。這種方法在處理少量關(guān)鍵詞時(shí)表現(xiàn)良好。但當(dāng)搜索的關(guān)鍵詞數(shù)量達(dá)到10萬個(gè)或更多時(shí),這種
    的頭像 發(fā)表于 08-26 15:55 ?772次閱讀
    探索AC自動(dòng)機(jī):多<b class='flag-5'>關(guān)鍵詞</b>搜索的原理與應(yīng)用案例

    中國(guó)信通院發(fā)布“2024云計(jì)算十大關(guān)鍵詞

    7月23日,由中國(guó)通信標(biāo)準(zhǔn)化協(xié)會(huì)主辦,中國(guó)信息通信研究院(簡(jiǎn)稱“中國(guó)信通院”)承辦的“2024可信云大會(huì)”在京召開。大會(huì)上,中國(guó)信通院正式發(fā)布“2024云計(jì)算十大關(guān)鍵詞”,中國(guó)信通院云計(jì)算與大數(shù)
    的頭像 發(fā)表于 08-02 08:28 ?501次閱讀
    中國(guó)信通院發(fā)布“2024云計(jì)算十大<b class='flag-5'>關(guān)鍵詞</b>”

    微軟Edge瀏覽器將引入PDF閱讀器,實(shí)現(xiàn)關(guān)鍵詞自動(dòng)生成功能

    當(dāng)前,微軟已邀請(qǐng)部分Canary頻道Edge使用者參與試用,并在Edge瀏覽器中打開PDF后,右上角將出現(xiàn)新品圖標(biāo)(A之上加放大鏡),用以自動(dòng)分析及歸納文檔內(nèi)容的關(guān)鍵詞。
    的頭像 發(fā)表于 03-28 10:05 ?397次閱讀

    電容6大特性參數(shù),知道幾個(gè)

    硬件設(shè)計(jì)好不好,電容參數(shù)知多少? 原文整理自書籍《硬件設(shè)計(jì)指南》 電容是我們電子電路設(shè)計(jì)中最常用的元件之一,除了基本的電容容值之外,電容還有其他6大參數(shù),知道幾個(gè)呢?本文章介紹MLCC陶瓷電容6
    的頭像 發(fā)表于 02-21 15:10 ?833次閱讀
    電容6大特性參數(shù),<b class='flag-5'>你</b><b class='flag-5'>知道</b><b class='flag-5'>幾個(gè)</b>?

    深開鴻用三個(gè)關(guān)鍵詞,為解讀《2023 OpenHarmony 年度運(yùn)營(yíng)報(bào)告》

    的一筆共同奏響中國(guó)基礎(chǔ)軟件的光輝歲月作為OpenHarmony生態(tài)的領(lǐng)軍企業(yè)深開鴻通過三個(gè)關(guān)鍵詞帶你讀懂《2023OpenHarmony年度運(yùn)營(yíng)報(bào)告》01繁榮202
    的頭像 發(fā)表于 02-02 17:00 ?464次閱讀
    深開鴻用三個(gè)<b class='flag-5'>關(guān)鍵詞</b>,為<b class='flag-5'>你</b>解讀《2023 OpenHarmony <b class='flag-5'>年度</b>運(yùn)營(yíng)報(bào)告》

    中科曙光算力服務(wù)年度盤點(diǎn) 四大關(guān)鍵詞

    總結(jié)為以下四個(gè)關(guān)鍵詞。 聚焦 —? 曙光算力服務(wù)緊跟市場(chǎng)趨勢(shì),積極參與信通院新一代算力網(wǎng)技術(shù)創(chuàng)新聯(lián)盟、首批可信算力云服務(wù)-智能平臺(tái)和“算力星圖”計(jì)劃。通過深度參與行業(yè)標(biāo)準(zhǔn)和技術(shù)創(chuàng)新,曙光智算成功通過首批“可信算力服務(wù)-智
    的頭像 發(fā)表于 01-04 10:34 ?535次閱讀

    測(cè)測(cè)這10個(gè)AI關(guān)鍵詞清楚幾個(gè)?第4個(gè)今年最火

    原文標(biāo)題:測(cè)測(cè)這10個(gè)AI關(guān)鍵詞清楚幾個(gè)?第4個(gè)今年最火 文章出處:【微信公眾號(hào):微軟科技】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。
    的頭像 發(fā)表于 12-21 08:15 ?492次閱讀
    測(cè)測(cè)這10個(gè)AI<b class='flag-5'>關(guān)鍵詞</b><b class='flag-5'>你</b>清楚<b class='flag-5'>幾個(gè)</b>?第4個(gè)今年最火

    #2023,的 FPGA 年度關(guān)鍵詞是什么? # 對(duì)狀態(tài)機(jī)的疑惑?

    自己平時(shí)一直在寫的狀態(tài)機(jī)格式,同事昨天說我寫的是一段式的最多算是偽二段式的,說的看了不少文章我也有點(diǎn)疑惑了,所給大家貼出來一起看看,我這邊寫法和野火的一直這次就貼出野火FPGA的code,供大家參考對(duì)比。 module complex_fsm ( input wire sys_clk , //系統(tǒng)時(shí)鐘 50MHz input wire sys_rst_n , //全局復(fù)位 input wire pi_money_one , //投幣 1 元 input wire pi_money_half , //投幣 0.5 元 output reg po_money , //po_money 為 1 時(shí)表示找零 //po_money 為 0 時(shí)表示不找零 output reg po_cola //po_cola 為 1 時(shí)出可樂 //po_cola 為 0 時(shí)不出可樂 ); //********************************************************************// //****************** Parameter and Internal Signal *******************// //********************************************************************// //parameter define //只有五種狀態(tài),使用獨(dú)熱碼 parameter IDLE = 5\'b00001; parameter HALF = 5\'b00010; parameter ONE = 5\'b00100; parameter ONE_HALF = 5\'b01000; parameter TWO = 5\'b10000; //reg define reg [4:0] state; //wire define wire [1:0] pi_money; //********************************************************************// //***************************** Main Code ****************************// //********************************************************************// //pi_money:為了減少變量的個(gè)數(shù),我們用位拼接把輸入的兩個(gè) 1bit 信號(hào)拼接成 1 個(gè) 2bit 信號(hào) //投幣方式可以為:不投幣(00)、投 0.5 元(01)、投 1 元(10),每次只投一個(gè)幣 assign pi_money = {pi_money_one, pi_money_half}; //第一段狀態(tài)機(jī),描述當(dāng)前狀態(tài) state 如何根據(jù)輸入跳轉(zhuǎn)到下一狀態(tài) always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) state <= IDLE; //任何情況下只要按復(fù)位就回到初始狀態(tài) else case(state) IDLE : if(pi_money == 2\'b01) //判斷一種輸入情況 state <= HALF; else if(pi_money == 2\'b10)//判斷另一種輸入情況 state <= ONE; else state <= IDLE; HALF : if(pi_money == 2\'b01) state <= ONE; else if(pi_money == 2\'b10) state <= ONE_HALF; else state <= HALF; ONE : if(pi_money == 2\'b01) state <= ONE_HALF; else if(pi_money == 2\'b10) state <= TWO; else state <= ONE; ONE_HALF: if(pi_money == 2\'b01) state <= TWO; else if(pi_money == 2\'b10) state <= IDLE; else state <= ONE_HALF; TWO : if((pi_money == 2\'b01) || (pi_money == 2\'b10)) state <= IDLE; else state <= TWO; //如果狀態(tài)機(jī)跳轉(zhuǎn)到編碼的狀態(tài)之外也回到初始狀態(tài) default : state <= IDLE; endcase //第二段狀態(tài)機(jī),描述當(dāng)前狀態(tài) state 和輸入 pi_money 如何影響 po_cola 輸出 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) po_cola <= 1\'b0; else if((state == TWO && pi_money == 2\'b01) || (state == TWO &&pi_money == 2\'b10) || (state == ONE_HALF && pi_money == 2\'b10)) po_cola <= 1\'b1; else po_cola <= 1\'b0; //第二段狀態(tài)機(jī),描述當(dāng)前狀態(tài) state 和輸入 pi_money 如何影響 po_money 輸出 always@(posedge sys_clk or negedge sys_rst_n) if(sys_rst_n == 1\'b0) po_money <= 1\'b0; else if((state == TWO) && (pi_money == 2\'b10)) po_money <= 1\'b1; else po_money <= 1\'b0; endmodule
    發(fā)表于 12-16 09:38

    #2023,的 FPGA 年度關(guān)鍵詞是什么? # PWM模塊更新

    之前的因?yàn)橐恍﹩栴}發(fā)的code有點(diǎn)問題,這次把更新之后code發(fā)了出來,雖然也不是很完善但是初步還是可以用的; 對(duì)應(yīng)的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系統(tǒng)時(shí)鐘為100MHz inputwirerst,//系統(tǒng)復(fù)位 inputwirekey_flag1,//占空比上調(diào) inputwirekey_flag2,//占空比下調(diào) inputwirekey_flag3,//頻率上調(diào) inputwirekey_flag4,//頻率下調(diào) output regPWM ); //PWM波形頻率選擇 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的頻率設(shè)定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM頻率生成計(jì)數(shù)器模塊 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比調(diào)節(jié)模塊,步進(jìn)為10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 對(duì)應(yīng)的測(cè)試用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 對(duì)應(yīng)的原始code中的參數(shù)如果修改一下是可以大幅縮短仿真時(shí)間,但是一時(shí)沒有想起對(duì)應(yīng)的修改模塊內(nèi)部變量的方法,后面找到后再進(jìn)行補(bǔ)充。 寫的還是感覺比較差勁,只能說說慢慢進(jìn)步吧,自己也是自學(xué)不久。
    發(fā)表于 12-12 10:47

    肖特基二極管4大特性,知道嗎?

    肖特基二極管4大特性,知道嗎?
    的頭像 發(fā)表于 12-07 16:29 ?648次閱讀
    肖特基二極管4大特性,<b class='flag-5'>你</b><b class='flag-5'>都</b><b class='flag-5'>知道</b>嗎?

    #2023,的 FPGA 年度關(guān)鍵詞是什么? # PWM模塊基礎(chǔ)設(shè)計(jì)

    由于今天連續(xù)多次無法發(fā)布該文章,心態(tài)真的是崩了,由于基礎(chǔ)的PWM比較簡(jiǎn)單,此次先給大家展示個(gè)半成品,完整狀態(tài)對(duì)應(yīng)的PWM頻率、占空比均可調(diào)節(jié),對(duì)應(yīng)的模塊結(jié)構(gòu)圖如下: 對(duì)應(yīng)的基本code如下: modulecreat_PWM ( inputwireclk, //系統(tǒng)時(shí)鐘為50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //輸出PWM為1KHz,1ms=5000*20ns //PWM頻率生成計(jì)數(shù)器模塊 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比調(diào)節(jié)模塊 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在電子發(fā)燒友上發(fā)文章,體驗(yàn)感覺真的不太友好,希望能夠把文章的自動(dòng)保存功能給加上,否則沒有備份真的讓人不開心
    發(fā)表于 12-06 21:56

    #2023,的 FPGA 年度關(guān)鍵詞是什么? #

    FPGA 年度關(guān)鍵詞,我的想法是“標(biāo)準(zhǔn)化”;今年的工作中遇到了不少同事的issues,本身都是小問題或者很細(xì)節(jié)的東西但是卻反復(fù)出現(xiàn)問題,目前想到的最好的辦法是做好設(shè)計(jì)規(guī)則的標(biāo)準(zhǔn)化才能避免,不知道大家有沒有更好的建議?
    發(fā)表于 12-06 20:31

    二極管選型的15個(gè)關(guān)鍵要素,知道幾個(gè)?

    二極管選型的15個(gè)關(guān)鍵要素,知道幾個(gè)? 選擇二極管時(shí),有許多關(guān)鍵要素需要考慮。下面是15個(gè)關(guān)鍵
    的頭像 發(fā)表于 11-30 14:35 ?955次閱讀

    如何學(xué)會(huì) Python yield 關(guān)鍵詞

    。當(dāng)你使用列表解析式時(shí),創(chuàng)建了一個(gè)列表,因此也是一個(gè)迭代器: 所有可以用"for... in ...."都是迭代器,包括列表、字符串、文件…等等。 這些迭代器非常方便,因?yàn)?b class='flag-5'>你可以隨心所欲地讀取它們,但是
    的頭像 發(fā)表于 10-31 11:06 ?287次閱讀
    如何學(xué)會(huì) Python yield <b class='flag-5'>關(guān)鍵詞</b>