0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

臺積電宣布5納米制程已進(jìn)入試產(chǎn)階段 目標(biāo)鎖定具有高成長性的5G與人工智能市場

半導(dǎo)體動態(tài) ? 來源:工程師吳畏 ? 2019-04-04 17:04 ? 次閱讀

晶圓代工龍頭臺積電 3 日宣布,在開放創(chuàng)新平臺 (Open Innovation Platform,OIP) 之下推出 5 納米設(shè)計(jì)架構(gòu)的完整版本,協(xié)助客戶實(shí)現(xiàn)支援下一世代先進(jìn)行動及高效能運(yùn)算應(yīng)用產(chǎn)品的 5 納米系統(tǒng)單晶片設(shè)計(jì),目標(biāo)鎖定具有高成長性的 5G人工智能市場。

臺積電表示,電子設(shè)計(jì)自動化及硅智財(cái)領(lǐng)導(dǎo)廠商與臺積電已透過多種芯片測試載具合作開發(fā)并完成整體設(shè)計(jì)架構(gòu)的驗(yàn)證, 包括技術(shù)檔案、制程設(shè)計(jì)套件、工具、參考流程、以及知識產(chǎn)權(quán)。

臺積電指出,目前 5 納米制程已進(jìn)入試產(chǎn)階段,能夠提供芯片設(shè)計(jì)業(yè)者全新等級的效能及功耗最佳 化解決方案,支援下一世代的高階行動及高效能運(yùn)算應(yīng)用產(chǎn)品。相較于臺積公司 7 納米制 程,5 納米創(chuàng)新的微縮功能在 ARM Cortex-A72 的核心上能夠提供 1.8 倍的邏輯密度,速度增快 15%,在此制程架構(gòu)之下也產(chǎn)生出優(yōu)異的 SRAM 及類比面積縮減。

而且,5 納米制程享有極紫外光微影技術(shù)所提供的制程簡化效益,同時(shí)也在良率學(xué)習(xí)上展現(xiàn)了卓越的進(jìn)展,相較 于臺積公司前幾代制程,在相同對應(yīng)的階段,達(dá)到了最佳的技術(shù)成熟度。

臺積電進(jìn)一步指出,完備的 5 納米設(shè)計(jì)架構(gòu)包括 5 納米設(shè)計(jì)規(guī)則手冊、SPICE 模型、制程設(shè)計(jì)套件、 以及通過硅晶驗(yàn)證的基礎(chǔ)與界面硅智財(cái),并且全面支援通過驗(yàn)證的電子設(shè)計(jì)自動化工具及 設(shè)計(jì)流程。在業(yè)界最大設(shè)計(jì)生態(tài)系統(tǒng)資源的支持之下,臺積電與客戶之間已經(jīng)展開密集 的設(shè)計(jì)合作,為產(chǎn)品設(shè)計(jì)定案、試產(chǎn)活動與初期送樣打下良好基礎(chǔ)。

當(dāng)前最新的 5 納米制程設(shè)計(jì)套件目前已可取得用來支援生產(chǎn)設(shè)計(jì),包括電路元件符號、參數(shù)化 元件、電路網(wǎng)表生成及設(shè)計(jì)工具技術(shù)檔案,能夠協(xié)助啟動整個(gè)設(shè)計(jì)流程,從客制化設(shè)計(jì)、 電路模擬、實(shí)體實(shí)作、虛擬填充、電阻電容擷取到實(shí)體驗(yàn)證及簽核。

臺積電與設(shè)計(jì)生態(tài)系統(tǒng)伙伴合作,包括益***際計(jì)算機(jī)科技Cadence)、新思科技 (Synopsys)、Mentor Graphics、以及 ANSYS,透過臺積電開放創(chuàng)新平臺電子設(shè)計(jì)自動 化驗(yàn)證項(xiàng)目來進(jìn)行全線電子設(shè)計(jì)自動化工具的驗(yàn)證,此驗(yàn)證項(xiàng)目的核心涵蓋硅晶為主的電 子設(shè)計(jì)自動化工具范疇,包括模擬、實(shí)體實(shí)作 (客制化設(shè)計(jì)、自動布局與繞線) 、時(shí)序簽核 (靜態(tài)時(shí)序分析、晶體管級靜態(tài)時(shí)序分析) 、電子遷移及壓降分析 (閘級與晶體管級) 、 實(shí)體驗(yàn)證 (設(shè)計(jì)規(guī)范驗(yàn)證、電路布局驗(yàn)證) 、以及電阻電容擷取。

而透過此驗(yàn)證項(xiàng)目,臺積電與電子設(shè)計(jì)自動化伙伴能夠?qū)崿F(xiàn)設(shè)計(jì)工具來支援臺積電 5 納米設(shè)計(jì)法則,確保必要 的準(zhǔn)確性,改善繞線能力,以達(dá)到功耗、效能、面積的最佳化,協(xié)助客戶充分利用臺積公 司 5 納米制程技術(shù)的優(yōu)勢。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 臺積電
    +關(guān)注

    關(guān)注

    43

    文章

    5578

    瀏覽量

    165881
收藏 人收藏

    評論

    相關(guān)推薦

    2025年繼續(xù)漲價(jià),5/3納米制程產(chǎn)品預(yù)計(jì)漲幅3~8%

    據(jù)業(yè)內(nèi)資深人士透露,全球芯片制造巨頭已不僅限于2024年的價(jià)格調(diào)整策略,而是將漲價(jià)趨勢延續(xù)至2025年。近期,
    的頭像 發(fā)表于 08-08 09:57 ?1013次閱讀

    谷歌Tensor G5芯片轉(zhuǎn)投3nm與InFO封裝

    近日,業(yè)界傳出重大消息,谷歌手機(jī)的自研芯片Tensor G5計(jì)劃轉(zhuǎn)投的3nm制程,并引入
    的頭像 發(fā)表于 08-06 09:20 ?488次閱讀

    SoIC技術(shù)助力蘋果M5芯片,預(yù)計(jì)2025年量產(chǎn)

    在半導(dǎo)體行業(yè)的最新動態(tài)中,再次展示了其在制程技術(shù)和封裝技術(shù)方面的領(lǐng)先地位。本周,
    的頭像 發(fā)表于 07-16 10:28 ?879次閱讀

    3/5納米制程技術(shù)漲價(jià)計(jì)劃:引領(lǐng)半導(dǎo)體行業(yè)新趨勢

    近日,全球半導(dǎo)體制造業(yè)的領(lǐng)頭羊——宣布了一項(xiàng)重要決定,計(jì)劃自2025年1月1日起對旗下3/5納米制
    的頭像 發(fā)表于 07-02 15:55 ?491次閱讀

    今日看點(diǎn)丨3納米助攻 Google自研手機(jī)芯片進(jìn)入流片階段;傳豐田尋求在上海生產(chǎn)電動汽車

    1. 3 納米助攻 Google 自研手機(jī)芯片進(jìn)入流片階段 ? 據(jù)報(bào)道,Google搭載于
    發(fā)表于 07-01 10:41 ?578次閱讀

    回應(yīng)先進(jìn)制程漲價(jià)傳聞:定價(jià)以策略為導(dǎo)向

    近日,市場上傳出臺將針對先進(jìn)制程技術(shù)進(jìn)行價(jià)格調(diào)整的傳聞,涉及5納米、3
    的頭像 發(fā)表于 06-19 11:37 ?567次閱讀

    電大客戶包下3納米產(chǎn)能

    隨著人工智能(AI)服務(wù)器、高性能計(jì)算(HPC)應(yīng)用以及高階智能手機(jī)AI化的迅速發(fā)展,全球科技巨頭紛紛將目光鎖定在了
    的頭像 發(fā)表于 06-12 10:00 ?410次閱讀

    5G智能物聯(lián)網(wǎng)課程之Aidlux下人工智能開發(fā)(SC171開發(fā)套件V2)

    5G智能物聯(lián)網(wǎng)課程之Aidlux下人工智能開發(fā)(SC171開發(fā)套件V2) 課程類別 課程名稱 視頻課程時(shí)長 視頻課程鏈接 課件鏈接 人工智能 參賽基礎(chǔ)知識指引 14分50秒 https
    發(fā)表于 05-10 16:46

    延后1.4nm工廠,優(yōu)先2nm、1.6nm制程

    關(guān)于為何推遲1.4納米工廠建設(shè),供應(yīng)鏈分析認(rèn)為,由于2納米和A16(1.6納米
    的頭像 發(fā)表于 04-30 09:55 ?326次閱讀

    2nm芯片研發(fā)工作步入正軌

    據(jù)悉,明確其2nm工藝的量產(chǎn)時(shí)間表,計(jì)劃在2024年下半年進(jìn)行試產(chǎn),并在2025年第二季度逐步實(shí)現(xiàn)大規(guī)模生產(chǎn)。此外,
    的頭像 發(fā)表于 04-11 14:36 ?364次閱讀

    3納米產(chǎn)能擴(kuò)張,年底利用率有望突破80%

    總裁魏哲家在報(bào)告會上稱,自去年下半年起,3納米制程便開始投入量產(chǎn)。受益于手機(jī)與HPC市場
    的頭像 發(fā)表于 03-13 15:21 ?401次閱讀

    英特爾宣布推進(jìn)1.4納米制程

    ,和三星已經(jīng)推出3納米制程芯片,而英特爾則剛剛實(shí)現(xiàn)了5納米制程。然而,這一決定表明英特爾有
    的頭像 發(fā)表于 02-23 11:23 ?410次閱讀

    領(lǐng)跑半導(dǎo)體市場:2納米制程領(lǐng)先行業(yè),3納米產(chǎn)能飆升

    預(yù)期,目前營收總額約 70% 是來自 16 納米以下先進(jìn)制程技術(shù),隨著 3 納米和 2
    的頭像 發(fā)表于 02-21 16:33 ?704次閱讀

    7nm降幅約為5%~10%

    的7納米制程產(chǎn)能利用率較低,今年第三季度營收占比降至17%,明顯低于今年二季度的23%和去年二季度的26%。
    的頭像 發(fā)表于 12-04 16:00 ?651次閱讀

    晶圓代工廠降價(jià)潮來襲,降幅5%-10%

    宣布將對其7納米制程進(jìn)行降價(jià),預(yù)計(jì)降幅在5%至10%左右,旨在緩解產(chǎn)能利用率下降的壓力。
    的頭像 發(fā)表于 11-30 16:15 ?563次閱讀