0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

全球刻蝕設(shè)備深度分析報(bào)告

電子工程師 ? 來(lái)源:lq ? 2019-04-29 16:47 ? 次閱讀

晶圓制造涉及眾多流程,刻蝕為其中重要的一 步,目的是在襯底上留下需要的圖形電路??涛g分為干法刻蝕和濕法刻蝕,其 中干法刻蝕是主流工藝;在干法刻蝕中,反應(yīng)離子刻蝕應(yīng)用最廣泛。為了精確復(fù)制硅片上的掩膜圖形,刻蝕必須滿足速率快、刻蝕剖面各向異性等一系列特 殊要求。半導(dǎo)體工藝節(jié)點(diǎn)的不斷縮小,對(duì)刻蝕設(shè)備提出了更苛刻的要求。以下為全球刻蝕設(shè)備深度分析報(bào)告全文:

1.刻蝕設(shè)備:半導(dǎo)體的“雕刻刀”

1.1 半導(dǎo)體制造壁壘高,涉及眾多流程

半導(dǎo)體制造工序繁多,涉及大量設(shè)備。由于半導(dǎo)體產(chǎn)品加工工序多,所以在制造過(guò) 程中需要大量的半導(dǎo)體設(shè)備和材料。半導(dǎo)體產(chǎn)品的加工過(guò)程主要包括晶圓制造(前道, Front-End)和封裝(后道,Back-End)測(cè)試,隨著先進(jìn)封裝技術(shù)的滲透,出現(xiàn)介于晶 圓制造和封裝之間的加工環(huán)節(jié),稱為中道(Middle-End)。半導(dǎo)體設(shè)備投資中,晶圓處 理設(shè)備占比最大,根據(jù) SEMI 預(yù)計(jì),2018 年晶圓處理設(shè)備投資額占整體設(shè)備投資比例達(dá) 81%。

晶圓處理線可以分成 7 個(gè)獨(dú)立的生產(chǎn)區(qū)域:擴(kuò)散(Thermal Process)、光刻(Photo- lithography)、刻蝕(Etch)、離子注入(Ion Implant)、薄膜生長(zhǎng)(Dielectric Deposition)、 拋光(CMP)、金屬化(Metalization)。7 個(gè)主要生產(chǎn)區(qū)的相關(guān)步驟以及測(cè)量等都是在晶 圓潔凈廠房進(jìn)行的。在生產(chǎn)區(qū)都放臵有若干種半導(dǎo)體設(shè)備,滿足不同的需要。

半導(dǎo)體產(chǎn)品中,集成電路銷售額最大。半導(dǎo)體是導(dǎo)電性介于導(dǎo)體(金屬)與絕緣體 (陶瓷、石頭)之間的物質(zhì),包括硅、鍺、砷化鎵。半導(dǎo)體分為四類產(chǎn)品:集成電路(IC)、 光電子器件、分立器件和傳感器。其中銷售額規(guī)模最大的是集成電路,2018 年集成電 路市場(chǎng)規(guī)模達(dá)到 3933 億美元,同比增長(zhǎng) 15%,占半導(dǎo)體市場(chǎng)的 83.9%。集成電路產(chǎn)品 又可以細(xì)分為邏輯電路、存儲(chǔ)器、模擬電路、微處理器。

集成電路(IC)的制造過(guò)程可以分為芯片設(shè)計(jì)、芯片制造(晶圓制造和晶圓加工)、 芯片封裝與測(cè)試。芯片設(shè)計(jì)就是建立電子器件間互連線模型,包括邏輯設(shè)計(jì)、電路設(shè)計(jì) 等;晶圓加工包括氧化、光刻、刻蝕、擴(kuò)散、植入、沉積等過(guò)程,分為 IDM(一體化生 產(chǎn))和晶圓代工兩種模式.

1.2 半導(dǎo)體先進(jìn)制程加速,對(duì)刻蝕設(shè)備要求提高

1.2.1 干法刻蝕為主流工藝,其中介質(zhì)刻蝕應(yīng)用最廣泛

刻蝕環(huán)節(jié)為芯片制造重要一步??涛g是用化學(xué)或物理方法有選擇地從硅片表面去除 不需要的材料的過(guò)程??涛g的基本目標(biāo)是在涂膠的硅片上正確地復(fù)制掩膜圖形。刻蝕的 選擇性質(zhì)來(lái)自于:紫外光會(huì)破壞抗蝕劑,而掩膜版會(huì)遮擋紫外光,這樣被掩膜版遮蔽的 薄膜層就會(huì)被保留。因此,經(jīng)過(guò)物理或者化學(xué)刻蝕之后,襯底上留下的圖形電路就與掩 膜版的形狀一模一樣了。

如上圖所示,一層結(jié)構(gòu)的加工就需要十幾個(gè)步驟,如果要建立 60 層的復(fù)雜結(jié) 構(gòu),就需要約 1000 個(gè)加工步驟。單個(gè)步驟的合格率即使達(dá)到 99.0%,1000 個(gè)步 驟后的合格率就趨近于零。因此只有每個(gè)步驟的合格率均達(dá)到 99.99%,才能實(shí)現(xiàn) 總體合格率 90%以上。

刻蝕分為干法刻蝕和濕法刻蝕,其中干法刻蝕是主流工藝。干法刻蝕是把硅片表面 暴露于氣態(tài)中,產(chǎn)生等離子體,等離子體通過(guò)光刻膠中開(kāi)出的窗口與硅片發(fā)生物理或化 學(xué)反應(yīng)(或這兩種反應(yīng)),從而去除暴露的表面材料。干法刻蝕是亞微米尺寸下刻蝕器 件的主要方法。濕法刻蝕是使用液體化學(xué)試劑(如酸、堿和溶劑等)以化學(xué)方式去除硅 片表面的材料。濕法刻蝕一般只是在尺寸較大的情況下(大于 3 微米)。濕法刻蝕也用 于腐蝕硅片上的某些層或用于去除干法刻蝕的殘留物。與濕法刻蝕相比,干法刻蝕的 優(yōu)點(diǎn)在于:

1)刻蝕剖面是各向異性,具有非常好的側(cè)壁剖面控制;

2)好的 CD(尺寸大?。┛刂?;

3)最小的光刻膠脫落或粘附問(wèn)題;

4)好的片內(nèi)、片間、批次間的刻蝕均勻性;

5)較低的化學(xué)制品使用和處理費(fèi)用。

按照反應(yīng)原理來(lái)劃分,干法刻蝕分為三種:1)物理性刻蝕,又稱離子束濺射刻 蝕,原理是使帶能粒子在強(qiáng)電場(chǎng)下加速,這些帶能粒子通過(guò)濺射刻蝕作用去除未被 保護(hù)的硅片表面材料。2)化學(xué)性刻蝕,又稱等離子體刻蝕,純化學(xué)刻蝕作用中,通 過(guò)等離子體產(chǎn)生的自由基和反應(yīng)原子與硅片表面的物質(zhì)發(fā)生化學(xué)反應(yīng)達(dá)到刻蝕的效 果。3)物理化學(xué)性刻蝕,即反應(yīng)離子刻蝕,為物理刻蝕與化學(xué)刻蝕混合作用。這種 物理和化學(xué)混合的作用機(jī)理結(jié)合了兩種作用的優(yōu)點(diǎn),能獲得較好的線寬控制并有較 好的選擇比,因而在大多數(shù)干法刻蝕中多采用反應(yīng)離子刻蝕。

按照被刻蝕的材料,干法刻蝕可以分為:金屬刻蝕、介質(zhì)刻蝕和硅刻蝕;其中, 介質(zhì)刻蝕使用量最大。金屬刻蝕主要是在金屬層上去掉鋁合金復(fù)合層,制作出互連 線;介質(zhì)刻蝕是用于介質(zhì)材料的刻蝕,如二氧化硅;硅刻蝕(包括多晶硅)應(yīng)用于 需要去除硅的場(chǎng)合,如刻蝕多晶硅晶體管柵和硅槽電容。在 200mm 晶圓時(shí)代,介 質(zhì)、多晶以及金屬刻蝕是刻蝕設(shè)備的三大塊。進(jìn)入 300mm 時(shí)代以后,隨著銅互連 的發(fā)展,金屬刻蝕逐漸萎縮,介質(zhì)刻蝕份額逐漸加大。目前介質(zhì)刻蝕設(shè)備的份額已經(jīng)超過(guò) 50%以上,而且隨著器件互連層數(shù)增多,介質(zhì)刻蝕設(shè)備使用量就越大。

1.2.2 刻蝕工藝參數(shù)繁多,對(duì)設(shè)備提出高要求

為了復(fù)制硅片上的掩膜圖形,刻蝕必須滿足一些特殊要求。

● 刻蝕速率:指在刻蝕過(guò)程中去除硅片表面材料的速度。上世紀(jì) 80 年代之前, 大部分等離子刻蝕設(shè)備是設(shè)計(jì)成批量處理的刻蝕機(jī),然而隨著技術(shù)節(jié)點(diǎn)的縮小,當(dāng)前半 導(dǎo)體制造的趨勢(shì)是采用單片處理的集成設(shè)備。在單片工藝的設(shè)備中,刻蝕速度是很重要 的一個(gè)參數(shù)。刻蝕速度由工藝和設(shè)備變量決定,如被刻蝕的材料類型、刻蝕機(jī)的結(jié)構(gòu)配 臵、使用的刻蝕氣體和工藝參數(shù)設(shè)臵??涛g速率通常正比于刻蝕劑的濃度。

刻蝕速率 = ?T/t

?T = 去掉的材料厚度(微米)

t = 刻蝕所用的時(shí)間(分)

● 刻蝕剖面:指被刻蝕材料的側(cè)壁形狀。有兩種基本的刻蝕剖面:各向同性和各 向異性刻蝕剖面。各向同性的刻蝕是在所有方向上(橫向和垂直方向)以相同的刻蝕速 率進(jìn)行刻蝕,這會(huì)導(dǎo)致被刻蝕材料在掩膜下面產(chǎn)生鉆蝕,帶來(lái)線寬損失。濕法刻蝕本質(zhì) 上是各向同性的,因此濕法刻蝕不適合用于亞微米器件中的選擇性圖形刻蝕。

對(duì)于亞微米尺寸的圖形來(lái)說(shuō),要求刻蝕剖面是各向異性的,即刻蝕只在垂直于硅片 表面的方向上進(jìn)行,只有很少的橫向刻蝕。各向刻蝕大部分是通過(guò)干法等離子體刻蝕來(lái) 實(shí)現(xiàn)的。我們認(rèn)為,刻蝕剖面的角度要求越嚴(yán)格,對(duì)于刻蝕設(shè)備的要求就會(huì)越高。隨著 工藝節(jié)點(diǎn)的加快迭代,會(huì)要求垂直側(cè)壁的角度越接近 90°,對(duì)設(shè)備提出的要求也越來(lái)越 高。

● 刻蝕偏差:指刻蝕以后線寬或關(guān)鍵尺寸間距的變化。刻蝕偏差通常是由于橫向 刻蝕導(dǎo)致的??涛g偏差是不必要的。

● 刻蝕選擇比:可以定義為被刻蝕材料與掩膜層材料刻蝕速率的比值。高選擇比 意味著只刻蝕想要去除的那一層材料而不刻蝕或少刻蝕其他材料。高選擇比在先進(jìn)工藝 中是必須的,有利于確保關(guān)鍵尺寸和剖面控制。關(guān)鍵尺寸越小,選擇比要求越高。

● 刻蝕均勻性:刻蝕均勻性是衡量刻蝕工藝在整個(gè)硅片上,整個(gè)一批中,或批與 批之間刻蝕能力的參數(shù)。均勻性與選擇比有密切的關(guān)系,保持硅片的均勻性是保證制造 性能一致的關(guān)鍵。

● 刻蝕殘留物:刻蝕殘留物是刻蝕以后留在硅片表面的不想要的材料??涛g殘留 物是 IC 制造過(guò)程中的硅片污染源。為了去除刻蝕殘留物,有時(shí)在刻蝕完成時(shí)進(jìn)行過(guò)刻蝕。在一些情況下,刻蝕殘留物可以在去除光刻膠的過(guò)程中用濕法化學(xué)腐蝕去掉。

● 刻蝕聚合物:為了形成高的各向異性圖形,有時(shí)會(huì)有意形成刻蝕聚合物,因?yàn)?聚合物能阻擋對(duì)側(cè)壁的刻蝕,增強(qiáng)刻蝕的方向性。但聚合物淀積也有副作用,即會(huì)導(dǎo)致 工藝腔中的內(nèi)部部件也被聚合物覆蓋。因此,刻蝕工藝腔需要定期的清洗來(lái)去除聚合物 或是替換掉不能清洗的部件。

其他的參數(shù)還有刻蝕等離子體誘導(dǎo)損傷、顆粒玷污等等,我們認(rèn)為隨著先進(jìn)技術(shù)的 發(fā)展,對(duì)集成電路的集成度要求不斷提高,半導(dǎo)體先進(jìn)制程加速提升,這對(duì)于設(shè)備端也 提出了更高的要求。半導(dǎo)體設(shè)備的更替潮或?qū)⒌絹?lái)。

刻蝕技術(shù)隨著硅片制造技術(shù)的發(fā)展有了很多改變,最早的圓筒式刻蝕機(jī)簡(jiǎn)單,只能 進(jìn)行有限的控制?,F(xiàn)代等離子體刻蝕機(jī)能產(chǎn)生高密度等離子體,具有產(chǎn)生等離子體的獨(dú) 立射頻功率源和硅片加偏執(zhí)電壓、終點(diǎn)監(jiān)測(cè)、氣體壓力和流量控制,并集成對(duì)刻蝕參數(shù) 進(jìn)行控制的軟件。

工藝節(jié)點(diǎn)逐步縮小,對(duì)刻蝕設(shè)備提出更高要求。隨著國(guó)際上高端量產(chǎn)芯片從 14 納 米到 10 納米階段向 7 納米、5 納米甚至更小的方向發(fā)展,當(dāng)前市場(chǎng)普遍使用的沉浸 式***受光波長(zhǎng)的限制,關(guān)鍵尺寸無(wú)法滿足要求,必須采用多重模板工藝,利用刻蝕 工藝實(shí)現(xiàn)更小的尺寸,使得刻蝕技術(shù)及相關(guān)設(shè)備的重要性進(jìn)一步提升。下圖展示 10 納 米多重模板工藝原理,涉及多次刻蝕。

2. 半導(dǎo)體下游需求正旺,設(shè)備投資有望集中釋放

2.1 2018 年全球刻蝕設(shè)備銷售額創(chuàng)歷史新高

半導(dǎo)體制造業(yè)是重資產(chǎn)投入產(chǎn)業(yè),需要大量設(shè)備投資,設(shè)備投資占整個(gè)總體投資比 例為 70%左右;設(shè)備投資中,晶圓處理設(shè)備投資額最大,占整體設(shè)備投資比例超過(guò) 80%。根據(jù) SEMI 預(yù)計(jì),2018 年晶圓處理設(shè)備投資金額占整體設(shè)備投資比例達(dá) 81%,根據(jù)中 商產(chǎn)業(yè)研究院,晶圓處理設(shè)備中***、刻蝕機(jī)和薄膜沉積設(shè)備投資金額占比最大,除 了***,刻蝕設(shè)備價(jià)值量最大,占晶圓設(shè)備投資的 20%左右。

我們預(yù)計(jì) 2018 年全球刻蝕設(shè)備市場(chǎng)規(guī)模在 100 億美元左右。根據(jù)中商產(chǎn)業(yè)研究院, 在晶圓處理設(shè)備中,刻蝕設(shè)備價(jià)值量?jī)H次于***,占晶圓設(shè)備價(jià)值比重在 20%左右。根據(jù) SEMI 大半導(dǎo)體產(chǎn)業(yè)網(wǎng)援引 SEMI 數(shù)據(jù),預(yù)計(jì) 2018 年晶圓處理設(shè)備市場(chǎng)空間為 502 億美元。我們預(yù)計(jì) 2018 年全球刻蝕設(shè)備銷售額在 100 億美元左右。

除 2008/2009 年刻蝕設(shè)備銷售額隨著全球經(jīng)濟(jì)形勢(shì)出現(xiàn)較大幅度衰退之外,2006 年至今,刻蝕設(shè)備市場(chǎng)規(guī)模一直在 60 億美元上下波動(dòng)。根據(jù) SEMI 大半導(dǎo)體產(chǎn)業(yè)網(wǎng)援 引 SEMI 數(shù)據(jù),全球半導(dǎo)體設(shè)備銷售額將在 2018 年創(chuàng)紀(jì)錄,2019 年重整,2020 年再 創(chuàng)新高。

中國(guó)半導(dǎo)體投資主力正在改變,中國(guó)公司對(duì)半導(dǎo)體工廠投資逐漸超越外國(guó)公司。從 投資方角度看,2017 年前,海外國(guó)際性公司,如三星、SK 海力士、英特爾是國(guó)內(nèi)晶圓 工廠建設(shè)主力,半導(dǎo)體設(shè)備消費(fèi)也領(lǐng)先于國(guó)內(nèi)其他公司;2017 年后,中國(guó)公司投資快速 增長(zhǎng),根據(jù) SEMI 預(yù)計(jì),2019 和 2020 年中國(guó)公司對(duì)國(guó)內(nèi)晶圓工廠的投資將超越外國(guó)公 司。

中國(guó)區(qū)域內(nèi),中國(guó)廠商半導(dǎo)體前端設(shè)備消費(fèi)將接近外國(guó)廠商。由于中國(guó)公司對(duì)半導(dǎo) 體制造的投資規(guī)模逐漸接近外國(guó)公司,中國(guó)公司的半導(dǎo)體設(shè)備消費(fèi)也逐漸接近外國(guó)公司。2018 年,中國(guó)公司半導(dǎo)體前端設(shè)備消費(fèi)將達(dá)到 58 億美元,外國(guó)公司將達(dá)到 67 億美元, 這也將是兩個(gè)數(shù)值最接近的一年。

2.2 下游產(chǎn)業(yè)快速發(fā)展,新制程半導(dǎo)體設(shè)備需求或集中釋放

2.2.1 下游產(chǎn)業(yè)快速發(fā)展,半導(dǎo)體產(chǎn)業(yè)加速更新迭代

2017 年,通信是集成電路的最大應(yīng)用終端。2017 年全球電子系統(tǒng)市場(chǎng)規(guī)模預(yù)計(jì)達(dá) 到 1.49 兆美元,以通信(含智能手機(jī))(31.8%)、PC/平板(26.1%)、工業(yè)/醫(yī)療(14.5%)、 消費(fèi)電子(11.9%)、汽車電子(9.1%)、政府/軍用(6.50%)為主,其中通信行業(yè)是最 大的應(yīng)用終端。

半導(dǎo)體市場(chǎng)主要增長(zhǎng)動(dòng)力在于智能手機(jī)、汽車電子、工業(yè)物聯(lián)網(wǎng)等領(lǐng)域。云計(jì)算、 工業(yè)物聯(lián)網(wǎng)、大數(shù)據(jù)、5G 等新業(yè)態(tài)引發(fā)了半導(dǎo)體產(chǎn)業(yè)的變革,半導(dǎo)體市場(chǎng)主要增長(zhǎng)動(dòng) 力在于汽車電子、工業(yè)物聯(lián)網(wǎng)、智能手機(jī)等領(lǐng)域。智能手機(jī)市場(chǎng)增速放緩,而物聯(lián)網(wǎng)、 汽車電子等新興終端應(yīng)用逐步放量。根據(jù) IC Insights 的數(shù)據(jù),2016-2021 年汽車電子、 工業(yè)/醫(yī)療、通信電子銷售額的增長(zhǎng)率分別為 5.4%、4.6%、4.2%。

AI 和 5G 是半導(dǎo)體產(chǎn)業(yè)的新動(dòng)能。根據(jù)賢集網(wǎng)援引中國(guó)電子報(bào),AI 和 5G 已經(jīng)成為 半導(dǎo)體產(chǎn)業(yè)的新動(dòng)能。因?yàn)橛?AI 和 5G 核心技術(shù)的發(fā)展,驅(qū)動(dòng)新的智能應(yīng)用,帶動(dòng)集成 電路的需求及增長(zhǎng),所以未來(lái)半導(dǎo)體產(chǎn)業(yè)仍會(huì)持續(xù)成長(zhǎng)。

半導(dǎo)體產(chǎn)業(yè)加速更新迭代,帶來(lái)新的設(shè)備需求。根據(jù) SUMCO 預(yù)測(cè),近年來(lái)全球半 導(dǎo)體先進(jìn)制程處于加速發(fā)展期,每?jī)赡臧氤霈F(xiàn)新的先進(jìn)制程。同時(shí),先進(jìn)制程的半導(dǎo)體 芯片應(yīng)用推廣速度呈加速態(tài)勢(shì),這也意味著下游終端對(duì)于電子芯片精密化的要求在不斷 提升。我們認(rèn)為,符合新制程要求的半導(dǎo)體設(shè)備需求或?qū)⑨尫拧?/p>

從 14nm 到 5nm 器件加工,刻蝕步驟會(huì)增加近乎三倍,對(duì)設(shè)備提出更高要求。14nm 工藝節(jié)點(diǎn)等離子刻蝕機(jī)刻蝕步驟為 65 步,而在 5nm 節(jié)點(diǎn)下,刻蝕步驟數(shù)達(dá)到了 150 步。我們認(rèn)為對(duì)于刻蝕設(shè)備而言,隨著工藝節(jié)點(diǎn)的不斷縮小,一是需要更精密的加工精度來(lái) 匹配先進(jìn)制程,二是需要更高的刻蝕速度來(lái)完成更多的步驟要求。因此先進(jìn)制程對(duì)刻蝕 設(shè)備的要求顯著提高。

2.2.2 受益本土半導(dǎo)體產(chǎn)能投資擴(kuò)張,設(shè)備端需求正旺

工程建設(shè)投資高峰到來(lái),中國(guó)半導(dǎo)體設(shè)備市場(chǎng)規(guī)模擴(kuò)大。根據(jù)各公司官網(wǎng)數(shù)據(jù),我 們統(tǒng)計(jì)出目前在建及計(jì)劃在建的生產(chǎn)線。未來(lái)中國(guó)半導(dǎo)體市場(chǎng)投資規(guī)模為 4011 億人民 幣,按照設(shè)備投資占總投資的 70%計(jì)算,未來(lái)半導(dǎo)體設(shè)備需求空間為 2808 億人民幣。按照晶圓處理設(shè)備投資占總設(shè)備投資的80%,刻蝕設(shè)備投資占晶圓處理設(shè)備投資的20% 進(jìn)行核算,未來(lái)中國(guó)在建或計(jì)劃建設(shè)的半導(dǎo)體工廠刻蝕設(shè)備投資額度大約為 449 億元。

根據(jù)《2018 年上海集成電路產(chǎn)業(yè)發(fā)展研究報(bào)告》,2017 年,全球半導(dǎo)體設(shè)備市場(chǎng) 高漲的原因在于全球芯片需求旺盛,存儲(chǔ)器價(jià)位飆升及激烈競(jìng)爭(zhēng)推動(dòng)晶圓廠設(shè)備投資額 達(dá)到高位。許多廠商用于新的晶圓廠建設(shè)和購(gòu)臵設(shè)備的投資都超過(guò)了歷史紀(jì)錄。2018 年,英特爾、美光、東芝(包括西部數(shù)據(jù))及格羅方德都增加了對(duì)晶圓廠的投資。韓國(guó) 的三星更是掀起了新一波投資浪潮,2017年其設(shè)備投資從原計(jì)劃的80億美元增加到180 億美元,同比增長(zhǎng) 128%。SK 海力士的設(shè)備支出也增加了 70%,達(dá)到 55 億美元,也創(chuàng) 歷史新高。

2.3 國(guó)際巨頭緊跟半導(dǎo)體產(chǎn)業(yè)趨勢(shì),刻蝕設(shè)備不斷進(jìn)化

根據(jù) Gartner 統(tǒng)計(jì),全球前十大半導(dǎo)體設(shè)備廠商基本被美國(guó)、荷蘭和日本廠商所占 據(jù),并且在相當(dāng)長(zhǎng)的時(shí)間內(nèi)保持穩(wěn)定,其中美國(guó)的應(yīng)用材料公司更是穩(wěn)坐全球龍頭位置。

刻蝕設(shè)備集中度高,拉姆研究占據(jù)半壁江山。根據(jù)搜狐網(wǎng)援引立木信息咨詢,國(guó)外 刻蝕機(jī)設(shè)備廠商主要有應(yīng)用材料(Applied Materials)、科林研發(fā)(KLA-Tencor) 、東 京電子(TEL)、日立國(guó)際(Hitach)、牛津儀器,且均已經(jīng)可以實(shí)現(xiàn) 7nm 制程。隨著 器件互連層數(shù)增多,介質(zhì)刻蝕設(shè)備使用量有望進(jìn)一步增大。在這樣的趨勢(shì)下,刻蝕機(jī)廠 商拉姆研究(Lam Research)利用其較低的設(shè)備成本和簡(jiǎn)單的設(shè)計(jì),逐漸在 65nm、45nm 設(shè)備市場(chǎng)超過(guò) TEL 等企業(yè),占據(jù)了大半個(gè)市場(chǎng),成為行業(yè)龍頭。根據(jù)中微半導(dǎo)體招股說(shuō) 明書(shū)(申報(bào)稿),拉姆研究、東京電子和應(yīng)用材料三家市場(chǎng)占比超過(guò) 90%。

拉姆研究提供各種家族系列產(chǎn)品,涉及多種刻蝕領(lǐng)域,如金屬刻蝕、半導(dǎo)體刻蝕、 介電刻蝕等。應(yīng)用材料提供 CENTRIS 系列、CENTURA 系列、PRODUCER 系列刻蝕 產(chǎn)品,CENTRIS 系列有著獨(dú)特的反應(yīng)腔技術(shù),精度更高,效率更高;CENTURA 系列 下有多種類別產(chǎn)品,功能更有針對(duì)性,而 PRODUCER 系列具有高選擇比。

2.3.1 國(guó)際巨頭緊跟半導(dǎo)體產(chǎn)業(yè)轉(zhuǎn)移趨勢(shì),調(diào)整產(chǎn)業(yè)布局

以拉姆研究和應(yīng)用材料為代表的國(guó)外半導(dǎo)體設(shè)備公司緊跟產(chǎn)業(yè)轉(zhuǎn)移趨勢(shì),調(diào)整產(chǎn)業(yè) 布局。自半導(dǎo)體產(chǎn)業(yè)在美國(guó)誕生以來(lái),全球半導(dǎo)體產(chǎn)業(yè)總共發(fā)生過(guò)三次大規(guī)模轉(zhuǎn)移:第 一次是上世紀(jì)八十年代,由美國(guó)本土向日本轉(zhuǎn)移;第二次是從九十年代開(kāi)始向韓國(guó)和中 國(guó)***轉(zhuǎn)移;第三次是半導(dǎo)體產(chǎn)業(yè)近年來(lái)向中國(guó)大陸轉(zhuǎn)移。三次轉(zhuǎn)移中,拉姆研究和應(yīng) 用材料都及時(shí)調(diào)整了全球戰(zhàn)略布局,充分利用了產(chǎn)能轉(zhuǎn)移帶來(lái)的機(jī)遇。

在 1979 年應(yīng)用材料就在日本設(shè)立了子公司 Applied Materials Japan。在 1983 年, 應(yīng)用材料在日本的營(yíng)業(yè)收入就幾乎達(dá)到了其全球總收入的三分之一。應(yīng)用材料于 1985 年在韓國(guó)設(shè)立辦事處,1989 年在中國(guó)***設(shè)立辦事處。中國(guó)***營(yíng)收在 2004 年超過(guò)北 美,成為應(yīng)用材料營(yíng)業(yè)額全球最高的地區(qū)。

拉姆研究 1980 年成立,1989 年便在韓國(guó)開(kāi)設(shè)了第一間辦公室,1990 年拉姆研究 在中國(guó)設(shè)立辦事結(jié)構(gòu),根據(jù)拉姆研究 2018 年年報(bào)披露,中日韓三地營(yíng)收占其營(yíng)收總比 例的 80%。我們認(rèn)為,緊跟產(chǎn)業(yè)轉(zhuǎn)移趨勢(shì),是海外半導(dǎo)體設(shè)備公司長(zhǎng)盛不衰的重要原因。

2.3.2 國(guó)際巨頭緊跟半導(dǎo)體制程變化,刻蝕設(shè)備與半導(dǎo)體工藝同步成長(zhǎng)

通過(guò)對(duì)拉姆研究產(chǎn)品端的分析,我們發(fā)現(xiàn)拉姆研究不斷開(kāi)發(fā)新產(chǎn)品以適應(yīng)半導(dǎo)體行 業(yè)對(duì)新設(shè)備的不斷需求。在刻蝕領(lǐng)域不斷推陳出新,平均每五年就有新的刻蝕設(shè)備出現(xiàn)。拉姆研究刻蝕設(shè)備家族已經(jīng)囊括了金屬刻蝕、硅刻蝕和介質(zhì)刻蝕三大系列產(chǎn)品的近 20 種型號(hào)的刻蝕設(shè)備,以其產(chǎn)品的靈活性和低成本牢牢占據(jù)刻蝕設(shè)備全球龍頭的地位。

上世紀(jì) 80 年代,應(yīng)用材料將核心業(yè)務(wù)轉(zhuǎn)移至半導(dǎo)體設(shè)備之后,便乘著 80 年代半 導(dǎo)體產(chǎn)業(yè)蓬勃發(fā)展的東風(fēng),一躍成為業(yè)界龍頭。在半導(dǎo)體工藝制程還停留在 500nm 的 90 年代,應(yīng)用材料的金屬刻蝕設(shè)備便在市場(chǎng)站穩(wěn)了腳跟。此后,應(yīng)用材料的刻蝕設(shè)備 便與工藝技術(shù)同步成長(zhǎng)。在半導(dǎo)體制程從 500nm 發(fā)展到 350nm 的過(guò)程中,應(yīng)用材料 公司的金屬刻蝕設(shè)備也經(jīng)歷了 PE8330、P5000-Mark Ⅱ/MXP 到 Centura DPS 的三代 更迭。

2016 年,應(yīng)用材料公司繼推出 CENTRIS? ADVANTEDGE? MESA?刻蝕系統(tǒng) 和 CENTRIS? SYM3?系統(tǒng)后,在刻蝕技術(shù)方面取得了全新的突破,推出業(yè)內(nèi)首款極 致選擇性刻蝕工具 PRODUCER? SELECTRA? ETCH 系統(tǒng),通過(guò)引入全新的材料工 程能力,助力 3D 邏輯芯片和存儲(chǔ)芯片的尺寸持續(xù)縮小。

2.4 國(guó)內(nèi)設(shè)備具備發(fā)展?jié)摿εc實(shí)力,刻蝕機(jī)國(guó)產(chǎn)化進(jìn)程正在加快

2.4.1 國(guó)產(chǎn)設(shè)備具備發(fā)展?jié)摿εc實(shí)力,國(guó)產(chǎn)刻蝕設(shè)備已開(kāi)始切入部分生產(chǎn)線

中國(guó)國(guó)產(chǎn)設(shè)備具備發(fā)展?jié)摿εc實(shí)力,除了美國(guó)、日本以外,中國(guó)已經(jīng)逐漸成為世界 第三大半導(dǎo)體設(shè)備供應(yīng)商,目前中國(guó)已經(jīng)有 34 家裝備供應(yīng)廠家,主要集中在北京、上 海與沈陽(yáng)等地。根據(jù)中微半導(dǎo)體創(chuàng)始人尹志堯預(yù)計(jì),未來(lái)在刻蝕機(jī)領(lǐng)域國(guó)產(chǎn)率將達(dá) 50%;MOCVD 領(lǐng)域未來(lái)將達(dá) 70%國(guó)產(chǎn)率。

國(guó)產(chǎn)刻蝕設(shè)備正在加速進(jìn)入半導(dǎo)體生產(chǎn)線。1)根據(jù)劍魚(yú)招標(biāo)網(wǎng)和中國(guó)招標(biāo)投標(biāo)公 共服務(wù)平臺(tái),2018 年 6 月和 8 月,北方華創(chuàng)兩臺(tái)等離子體刻蝕設(shè)備分別中標(biāo)上海華力 集成、株洲中車時(shí)代電氣生產(chǎn)線;2)2018 年 10 月,北京創(chuàng)世威納一臺(tái)離子束刻蝕機(jī) 中標(biāo)北方特種能源集團(tuán);3)2018 年 8 月,中微半導(dǎo)體一臺(tái)等離子體刻蝕設(shè)備中標(biāo)上海 華力集成;4)2018 年 12 月,盛吉盛一臺(tái)非金屬干法刻蝕設(shè)備中標(biāo)中芯集成;5)2019 年(截至 2019 年 3 月 16 日),已有北方華創(chuàng)、中微半導(dǎo)體的 3 臺(tái)刻蝕設(shè)備中標(biāo)華虹半 導(dǎo)體生產(chǎn)線,國(guó)產(chǎn)刻蝕設(shè)備正在加速進(jìn)入半導(dǎo)體生產(chǎn)線。

國(guó)產(chǎn)核心設(shè)備中,刻蝕機(jī)國(guó)產(chǎn)化率最高,比率逐年上升。晶圓加工的核心設(shè)備有薄 膜沉積設(shè)備、***、刻蝕機(jī)三類。其中,刻蝕機(jī)國(guó)產(chǎn)化率最高,上升速度最快。根據(jù) SEMI 預(yù)計(jì),到 2020 年,國(guó)內(nèi)刻蝕機(jī)國(guó)產(chǎn)率將達(dá)到 20%。

2.4.2 本土刻蝕設(shè)備廠商有望逐步突破國(guó)際壟斷

北方華創(chuàng)有望在刻蝕機(jī)領(lǐng)域突破國(guó)際壟斷。北方華創(chuàng)在 2016 年突破 14nm 生產(chǎn)技 術(shù),當(dāng)時(shí)與國(guó)際水平只差兩三年。而根據(jù)其 2018 年中報(bào),北方華創(chuàng) 12 英寸 90-28 納米 集成電路工藝設(shè)備已實(shí)現(xiàn)了產(chǎn)業(yè)化,12 英寸 14 納米集成電路工藝設(shè)備也進(jìn)入了工藝驗(yàn) 證階段。

3. 海內(nèi)外重點(diǎn)公司梳理

3.1 應(yīng)用材料:研發(fā)不遺余力,并購(gòu)帶來(lái)新技術(shù)

應(yīng)用材料是全球最大的半導(dǎo)體設(shè)備廠商。作為一家老牌的美國(guó)半導(dǎo)體設(shè)備商,應(yīng)用 材料(AMAT)是全球最大的半導(dǎo)體設(shè)備公司,產(chǎn)品橫跨 CVD、 PVD、刻蝕、CMP、 RTP 等除***外的幾乎所有半導(dǎo)體設(shè)備。在全球晶圓處理設(shè)備供應(yīng)商中排名第一。根 據(jù)中國(guó)工控網(wǎng)數(shù)據(jù),應(yīng)用材料在 PVD 領(lǐng)域占據(jù)了近 85%的市場(chǎng)份額,在 CVD 領(lǐng)域占 據(jù)占 30%的市場(chǎng)份額。2018 財(cái)年,應(yīng)用材料半導(dǎo)體業(yè)務(wù)收入占比為 63%。

全球半導(dǎo)體設(shè)備龍頭,2018 年?duì)I收 173 億美元。從營(yíng)業(yè)收入來(lái)看,應(yīng)用材料在 1972 年上市時(shí),全年?duì)I業(yè)收入為 630 萬(wàn)美元。2018 財(cái)年應(yīng)用材料營(yíng)業(yè)收入達(dá)到了 173 億 美元。46 年間,應(yīng)用材料營(yíng)收擴(kuò)大了 2746 倍,年均復(fù)合增長(zhǎng)率接近 19%。

從凈利潤(rùn)的角度來(lái)看,1990 年應(yīng)用材料凈利潤(rùn)為 3400 萬(wàn)美元,2018 年凈利潤(rùn)為 33 億美元。從 1990 財(cái)年到 2018 財(cái)年,凈利潤(rùn)擴(kuò)大了 97.4 倍,年均復(fù)合增長(zhǎng)率為 18%。

多個(gè)主要設(shè)備處于全球龍頭,技術(shù)水平領(lǐng)先全球。應(yīng)用材料作為全球最大的半導(dǎo)體 設(shè)備供應(yīng)商,在晶圓制造設(shè)備領(lǐng)域具有巨大的優(yōu)勢(shì)。2015 年,Gartner 統(tǒng)計(jì)了 8 類主 要晶圓制造設(shè)備的行業(yè)領(lǐng)導(dǎo)者情況,在晶圓制造設(shè)備中,應(yīng)用材料有 6 類設(shè)備處于全 球領(lǐng)先的位置。

分析應(yīng)用材料的成長(zhǎng)之路,我們發(fā)現(xiàn)其可鑒之處在于:

1) 研發(fā)不遺余力,研發(fā)費(fèi)用占總營(yíng)收比例持續(xù)高位。

研發(fā)支出占營(yíng)收比值常年在 15%左右。1990 年應(yīng)用材料的研發(fā)投入為 0.97 億美 元,到 2018 財(cái)年,研發(fā)投入已經(jīng)達(dá)到了 20 億美元。2017 年泰瑞達(dá)設(shè)備營(yíng)收 16.63 億 美元,位列全球第七大半導(dǎo)體設(shè)備公司,而同期應(yīng)用材料研發(fā)費(fèi)用已經(jīng)超過(guò)泰瑞達(dá)設(shè)備 營(yíng)收。從20 世紀(jì)90 年代起,應(yīng)用材料公司研發(fā)支出占營(yíng)業(yè)收入的比重始終保持在15% 左右。可見(jiàn),應(yīng)用材料公司一直把研發(fā)新技術(shù)和新產(chǎn)品放在一個(gè)非常重要的位置。

技術(shù)工藝先進(jìn),研發(fā)成果顯現(xiàn)。在刻蝕領(lǐng)域,應(yīng)用材料于 2016 年推出了業(yè)內(nèi)首款 極致選擇性蝕刻工具 Applied Producer? Selectra?系統(tǒng),通過(guò)引入全新的材料工程能 力,實(shí)現(xiàn)了原子級(jí)的蝕刻精準(zhǔn)性,推動(dòng)了摩爾定律發(fā)展,使得 3D 邏輯芯片和存儲(chǔ)芯片 的尺寸持續(xù)縮小。

2)應(yīng)用材料緊跟市場(chǎng)的發(fā)展和需求, 并購(gòu)帶來(lái)新技術(shù)。

1997 年,為了進(jìn)入集成電路生產(chǎn)過(guò)程監(jiān)測(cè)和控制設(shè)備市場(chǎng),應(yīng)用材料先后分別以 1.75 億美元和 1.1 億美元收購(gòu)兩家以色列公司 Opal Technologies 和 Orbot Instruments;1998 年,應(yīng)用材料為完善自己的生產(chǎn)線收購(gòu)了 Consilium 公司;2000 年, 為了進(jìn)入光罩生產(chǎn)市場(chǎng)和薄膜晶體管陣列測(cè)試領(lǐng)域,以換股并購(gòu)的方式、發(fā)行約 2900 萬(wàn)股收購(gòu)了 Etec Systems 公司;2001 年,應(yīng)用材料又以 2100 萬(wàn)美元的價(jià)格收購(gòu)了 以色列公司 Oramir 半導(dǎo)體設(shè)備有限公司,該公司的半導(dǎo)體晶片激光清洗技術(shù)是對(duì)應(yīng)用 材料已有的晶片檢測(cè)控制系統(tǒng)的一個(gè)補(bǔ)充;2009 年,應(yīng)用材料公司耗資約 3.64 億美 元收購(gòu) Semitool Inc.(Kalispell, Mont.),加快了晶圓級(jí)封裝(WLP)和存儲(chǔ)器產(chǎn)業(yè)向銅 互連工藝的轉(zhuǎn)變,增強(qiáng)了公司在兩大快速增長(zhǎng)市場(chǎng)的地位;2011 年 5 月,應(yīng)用材料公 司以 50 億美元的價(jià)格收購(gòu)了半導(dǎo)體制造商 Varian Semiconductor Equipment Associates,這項(xiàng)收購(gòu)交易不僅讓?xiě)?yīng)用材料重新回到電離子移植設(shè)備市場(chǎng),還讓?xiě)?yīng)用材 料獲得了生產(chǎn)太陽(yáng)能電池板和發(fā)光二極管的技術(shù)。

3)同高校和晶圓廠開(kāi)展廣泛合作,提升研發(fā)實(shí)力

為了將自己的產(chǎn)業(yè)經(jīng)驗(yàn)和高校的科研力量結(jié)合,應(yīng)用材料與世界范圍內(nèi)的眾多高校 或科研機(jī)構(gòu)都有著合作關(guān)系。2012 年,應(yīng)用材料與新加坡科技研究局(A*STAR)研究機(jī)構(gòu)微電子研究院(IME)聯(lián)合投資 1 億美元在新加坡設(shè)立先進(jìn)封裝卓越中心。該中 心擁有 14000 英尺的 10 級(jí)無(wú)塵室,配有一條完整的 12 寸制造系統(tǒng)生產(chǎn)線,支持 3D 芯片封裝技術(shù)的研發(fā)。在 2014 年,應(yīng)用材料又新增投資 1.3 億美元擴(kuò)大研發(fā)合作范圍, 專注先進(jìn)散出型晶圓級(jí)封裝技術(shù)。2015 年,應(yīng)用材料再次和新加坡科技研究局 (A*STAR)合作,雙方聯(lián)合投資 1.5 億美元,在新加坡設(shè)立新的研發(fā)實(shí)驗(yàn)室,致力于 發(fā)展先進(jìn)半導(dǎo)體技術(shù)。

與此同時(shí),應(yīng)用材料還與很多高校有合作關(guān)系。如與亞利桑那州立大學(xué)的柔性顯示 器研究中心共同開(kāi)發(fā)用于軟性顯示器的薄膜晶體管技術(shù)(TFT);與浙江大學(xué)、南開(kāi)大 學(xué)合作進(jìn)行的光伏技術(shù)研究等。

此外,應(yīng)用材料便與晶圓制造商有著許多合作。由于半導(dǎo)體設(shè)備的研發(fā)離不開(kāi)晶圓 廠工藝技術(shù)節(jié)點(diǎn)的演進(jìn),而工藝節(jié)點(diǎn)的演進(jìn)往往由晶圓廠主導(dǎo),因此,產(chǎn)業(yè)鏈下游的晶 圓制造商往往很樂(lè)意與半導(dǎo)體設(shè)備供應(yīng)商合作進(jìn)行研發(fā),而設(shè)備供應(yīng)商也能利用到晶圓 制造商的資金及工藝優(yōu)勢(shì)。

比如,2001 年,臺(tái)積電從應(yīng)用材料采購(gòu)黑鉆石 CVD 低介電質(zhì)薄膜,用以生產(chǎn)公 司當(dāng)時(shí)最新的高性能 0.13 微米銅芯片,為了將技術(shù)節(jié)點(diǎn)向前推進(jìn),應(yīng)用材料與臺(tái)積電 展開(kāi)合作,共同研究使用黑鉆石方案制造 0.1 微米級(jí)的晶體管。

2003 年,以解決業(yè)界最為棘手的納米級(jí)問(wèn)題為宗旨,應(yīng)用材料與臺(tái)積電、ARM 等 公司共同成立了硅設(shè)計(jì)鏈產(chǎn)業(yè)協(xié)作組織。這一組織在 2005 年利用經(jīng)流片驗(yàn)證的低功耗 90 納米芯片設(shè)計(jì)技術(shù),使芯片的總功耗降低了 40%。

應(yīng)用材料與英特爾也有著合作,如 2001 年時(shí),英特爾從應(yīng)用材料采購(gòu) FAB300MES 軟件以后,就與應(yīng)用材料簽訂了一份多年協(xié)議。雙方合作對(duì) FAB300 MES 軟件進(jìn)行升級(jí)和完善,使其能夠用于英特爾將來(lái) 300mm 晶圓的生產(chǎn)。

4)圍繞設(shè)備的配套服務(wù)提高用戶粘性與營(yíng)收穩(wěn)定性

觀察應(yīng)用材料公司的營(yíng)業(yè)收入可以發(fā)現(xiàn),“半導(dǎo)體系統(tǒng)”是公司營(yíng)收最大的部門(mén),每 年可給公司貢獻(xiàn) 50%—70%的收入。但除了這一最主要的營(yíng)收來(lái)源之外,“全球應(yīng)用服 務(wù)”部門(mén)也會(huì)為應(yīng)用材料帶來(lái)一大筆營(yíng)業(yè)收入。

―全球應(yīng)用服務(wù)‖主要為晶圓廠的性能和效率的提高提供全套的優(yōu)化服務(wù)方案,其中 包括備件、升級(jí)、服務(wù)、早期設(shè)備的翻新,以及半導(dǎo)體工廠軟件自動(dòng)化部署等。2018 年, “全球應(yīng)用服務(wù)”為應(yīng)用材料帶來(lái) 26%的營(yíng)業(yè)收入,過(guò)去幾年中在公司營(yíng)收構(gòu)成中也都 能占據(jù) 20%—30%的比例,是應(yīng)用材料除了“半導(dǎo)體系統(tǒng)”部門(mén)外營(yíng)收最大的部門(mén)。

另一方面,全球應(yīng)用服務(wù)部門(mén)也是應(yīng)用材料營(yíng)收最穩(wěn)定的部門(mén)。公司的半導(dǎo)體系統(tǒng) 部門(mén)以及顯示部門(mén)雖然常常能帶來(lái)大量的收入,但這部分收入受客戶預(yù)算以及市場(chǎng)行情影響劇烈。而與之相對(duì)的是,只要晶圓廠持續(xù)運(yùn)行,就需要全球應(yīng)用服務(wù)部門(mén)持續(xù)提供 配套服務(wù),這一特性使得全球應(yīng)用服務(wù)部門(mén)不易受市場(chǎng)行情影響,因此給應(yīng)用材料帶來(lái) 的收入明顯較其他部門(mén)更穩(wěn)定。

2015 年以來(lái),全球應(yīng)用服務(wù)部門(mén)各季度最大同比漲跌幅僅為 5%,而半導(dǎo)體系統(tǒng)部 門(mén)營(yíng)收最大波動(dòng)達(dá)到了 19%,顯示終端部門(mén)更曾有 87%的劇烈變化。全球應(yīng)用服務(wù)部 門(mén)這一特性使得行業(yè)陷入低谷,導(dǎo)致設(shè)備及顯示終端部門(mén)營(yíng)收下降時(shí),公司仍能有穩(wěn)定 的營(yíng)收來(lái)源??梢哉f(shuō)這一部門(mén)的存在是應(yīng)用材料營(yíng)業(yè)額不出現(xiàn)劇烈波動(dòng)的重要保證。

風(fēng)險(xiǎn)提示。全球半導(dǎo)體行業(yè)景氣度周期變化。

3.2 拉姆研究:專注半導(dǎo)體設(shè)備,緊跟時(shí)代潮流

拉姆研究是全球最大的半導(dǎo)體刻蝕機(jī)廠商,產(chǎn)品除刻蝕機(jī)外還包括薄膜沉積設(shè)備和 去除光阻設(shè)備。根據(jù)中微半導(dǎo)體招股說(shuō)明書(shū)(申報(bào)稿),拉姆研究在刻蝕設(shè)備中占據(jù) 55%的市場(chǎng)份額。隨著集成電路中器件互連層數(shù)增多,刻蝕設(shè)備的使用量不斷增大,泛 林半導(dǎo)體由于其刻蝕設(shè)備品類齊全,從 65 納米、45 納米設(shè)備市場(chǎng)起逐步超過(guò)應(yīng)用材 料和東京電子,成為行業(yè)龍頭。

2018 財(cái)年拉姆研究營(yíng)收/凈利潤(rùn)同比增長(zhǎng) 38%/40%。2018 財(cái)年拉姆研究實(shí)現(xiàn)收入 110.77 億美元,同比增長(zhǎng) 38%。1995-2018 年,拉姆研究收入擴(kuò)大了 13 倍,年復(fù)合增 長(zhǎng)率達(dá)到 12%。2018 財(cái)年拉姆研究實(shí)現(xiàn)凈利潤(rùn) 23.81 億美元,同比增長(zhǎng) 40%,凈利潤(rùn) 規(guī)模不斷擴(kuò)大。

北美、日本、韓國(guó)、中國(guó)***銷售額貢獻(xiàn)了拉姆研究大部分收入,中國(guó)大陸營(yíng)收增 長(zhǎng)最快。拉姆研究的大部分收入由北美、日本、韓國(guó)和中國(guó)***貢獻(xiàn)。2018 財(cái)年,上述 四個(gè)地區(qū)貢獻(xiàn)了拉姆全年 72%的收入;近年來(lái),中國(guó)大陸的銷售額奮起直追,2018 財(cái) 年拉姆研究在中國(guó)大陸地區(qū)的銷售額占比為 16%。

拉姆研究毛利率維持在 40%左右。拉姆研究研發(fā)費(fèi)用占收入比例保持在 10%以上, 2018 年拉姆研究毛利率為 47%。

拉姆研究緊跟半導(dǎo)體發(fā)展潮流,1981 年,F(xiàn)CC 批準(zhǔn)手機(jī)用于商業(yè)開(kāi)發(fā),同年拉姆 就開(kāi)發(fā)出了第一臺(tái)自動(dòng)刻蝕機(jī);1995 年,拉姆半導(dǎo)體制程達(dá)到 350nm,同年發(fā)布首款 雙頻受限介質(zhì)蝕刻產(chǎn)品,技術(shù)節(jié)點(diǎn)為 350nm;1999 年半導(dǎo)體制程達(dá)到 180nm,第二年 拉姆發(fā)布了 2300?蝕刻平臺(tái)并且推出了 VECTOR? PECVD 系統(tǒng);2010 年,半導(dǎo)體工 藝節(jié)點(diǎn)達(dá)到 32nm,同年拉姆推出用于晶圓級(jí)封裝的 SABRE 3D ECD 系統(tǒng)。

3.3 北方華創(chuàng):國(guó)內(nèi)集成電路高端工藝裝備的龍頭企業(yè)

公司由七星電子和北方微電子戰(zhàn)略重組而成,是目前國(guó)內(nèi)集成電路高端工藝裝備的 龍頭企業(yè)。擁有半導(dǎo)體裝備、真空裝備、新能源鋰電裝備及精密元器件四個(gè)事業(yè)群,為 半導(dǎo)體、新能源、新材料等領(lǐng)域提供全方位整體解決方案。

在兩家公司合并之前,七星電子擁有清洗機(jī)、氧化爐、LPCVD(低氣壓化學(xué)氣相 沉積)、ALD(原子層沉積)和氣體質(zhì)量流量控制器(MFC)等多個(gè)半導(dǎo)體設(shè)備項(xiàng)目, 是 02 專項(xiàng)的主要承擔(dān)單位之一,作為國(guó)內(nèi)電力電子芯片裝備、光伏電池裝備、平板顯 示裝備的主要供應(yīng)商,與國(guó)內(nèi)外行業(yè)龍頭客戶形成了長(zhǎng)期友好的合作關(guān)系;而北方微電 子則深耕刻蝕設(shè)備(Etch)、物理氣相沉積設(shè)備(PVD)和化學(xué)氣相沉積設(shè)備(CVD) 等領(lǐng)域,研發(fā)設(shè)備廣泛應(yīng)用于集成電路制造、先進(jìn)封裝、半導(dǎo)體照明(LED)、微機(jī)電 系統(tǒng)(MEMS)等領(lǐng)域。

公司技術(shù)實(shí)力深厚,擁有中組部千人計(jì)劃專家 10 人,在國(guó)內(nèi)處于領(lǐng)先地位。公司 的產(chǎn)品涵蓋等離子刻蝕(Etch)、物理氣相沉積(PVD)、化學(xué)氣相沉積(CVD)、氧化、 擴(kuò)散、清洗、退火等半導(dǎo)體工藝裝備,平板顯示制造裝備和氣體質(zhì)量流量控制器等核心 零部件;涉及集成電路、先進(jìn)封裝、LED、MEMS、電力電子、平板顯示、光伏電池等 半導(dǎo)體相關(guān)領(lǐng)域。

根據(jù) 2018 年業(yè)績(jī)快報(bào),公司 2018 年?duì)I業(yè)收入 33.20 億元,同比增長(zhǎng) 49%;歸母 凈利 2.31 億元,同比增長(zhǎng) 84%。根據(jù)公司 2017 年年報(bào),公司的主要產(chǎn)品半導(dǎo)體設(shè)備營(yíng) 收 11.34 億元,占總營(yíng)收比例過(guò)半。2018 年前三季度,公司毛利率為 40.25%,相比 2017 年回升 3.66 個(gè)百分點(diǎn),凈利率 9.46%,相比 2017 年回升 1.93 個(gè)百分點(diǎn)。

公司研發(fā)投入強(qiáng)勁,打造核心競(jìng)爭(zhēng)力。2012 年-2017 年研發(fā)占營(yíng)收比重均在 20% 以上。2016 年研發(fā)占比 46.73%,2017 年研發(fā)投入 7.36 億元,占收入比重為 33.11%。

公司在半導(dǎo)體設(shè)備領(lǐng)域不斷突破。據(jù)北方華創(chuàng) 2017 年報(bào)披露,公司的 14nm 制程 設(shè)備已交付至客戶端進(jìn)行驗(yàn)證,28nm 及以上技術(shù)代制程設(shè)備已批量進(jìn)入了國(guó)內(nèi)主流集 成電路生產(chǎn)線量產(chǎn),部分產(chǎn)品更成為了國(guó)內(nèi)龍頭芯片廠商的量產(chǎn)線 Baseline 機(jī)臺(tái)。而根 據(jù)其 2018 年中報(bào),北方華創(chuàng) 12 英寸 90-28 納米集成電路工藝設(shè)備實(shí)現(xiàn)了產(chǎn)業(yè)化,12 英寸 14 納米集成電路工藝設(shè)備進(jìn)入了工藝驗(yàn)證階段。

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 集成電路
    +關(guān)注

    關(guān)注

    5371

    文章

    11259

    瀏覽量

    359841
  • 晶圓
    +關(guān)注

    關(guān)注

    52

    文章

    4785

    瀏覽量

    127592
  • 刻蝕設(shè)備
    +關(guān)注

    關(guān)注

    0

    文章

    21

    瀏覽量

    9185

原文標(biāo)題:全球刻蝕設(shè)備市場(chǎng)深度分析與解讀!

文章出處:【微信號(hào):wc_ysj,微信公眾號(hào):旺材芯片】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    半導(dǎo)體干法刻蝕技術(shù)解析

    主要介紹幾種常用于工業(yè)制備的刻蝕技術(shù),其中包括離子束刻蝕(IBE)、反應(yīng)離子刻蝕(RIE)、以及后來(lái)基于高密度等離子體反應(yīng)離子的電子回旋共振等離子體刻蝕(ECR)和電感耦合等離子體
    的頭像 發(fā)表于 10-18 15:20 ?199次閱讀
    半導(dǎo)體干法<b class='flag-5'>刻蝕</b>技術(shù)解析

    PDMS濕法刻蝕與軟刻蝕的區(qū)別

    原理、工藝和應(yīng)用場(chǎng)景上有所不同。 濕法刻蝕 濕法刻蝕是利用化學(xué)溶液(如氫氧化鈉、氫氟酸等)與PDMS發(fā)生化學(xué)反應(yīng),從而去除PDMS材料的一種方法。該方法通常在常溫或加熱條件下進(jìn)行,刻蝕速率和
    的頭像 發(fā)表于 09-27 14:46 ?125次閱讀

    PDMS軟刻蝕技術(shù)的應(yīng)用

    PDMS(聚二甲基硅氧烷)軟刻蝕技術(shù)是一種在高分子科學(xué)中廣泛應(yīng)用的微制造技術(shù)。它能夠簡(jiǎn)捷有效、高精度地制備出眾多材料的微結(jié)構(gòu),且技術(shù)成本低廉,不需要昂貴的設(shè)備和苛刻的環(huán)境,具有極好的應(yīng)用前景。以下
    的頭像 發(fā)表于 09-19 14:38 ?220次閱讀

    離子束刻蝕機(jī)物理量傳感器 MEMS 刻蝕應(yīng)用

    口離子束刻蝕機(jī) IBE 可以很好的解決傳感器 MEMS 的刻蝕難題, 射頻角度可以任意調(diào)整, 蝕刻可以根據(jù)需要做垂直, 斜面等等加工形狀, 刻蝕那些很難刻蝕的硬質(zhì)或惰性材料.
    的頭像 發(fā)表于 09-12 13:31 ?271次閱讀
    離子束<b class='flag-5'>刻蝕</b>機(jī)物理量傳感器 MEMS <b class='flag-5'>刻蝕</b>應(yīng)用

    中微公司CCP刻蝕設(shè)備反應(yīng)腔全球出貨超3000臺(tái)

    近日,中微半導(dǎo)體設(shè)備(上海)股份有限公司(以下簡(jiǎn)稱“中微公司”)的電容耦合等離子體(CCP)刻蝕設(shè)備第3000臺(tái)反應(yīng)腔順利付運(yùn)國(guó)內(nèi)一家先進(jìn)的半導(dǎo)體芯片制造商。
    的頭像 發(fā)表于 04-23 14:20 ?547次閱讀

    等離子刻蝕ICP和CCP優(yōu)勢(shì)介紹

    刻蝕可以分為濕法刻蝕和干法刻蝕。濕法刻蝕各向異性較差,側(cè)壁容易產(chǎn)生橫向刻蝕造成刻蝕偏差,通常用于
    的頭像 發(fā)表于 04-12 11:41 ?3960次閱讀
    等離子<b class='flag-5'>刻蝕</b>ICP和CCP優(yōu)勢(shì)介紹

    什么是線刻蝕 干法線刻蝕的常見(jiàn)形貌介紹

    刻蝕過(guò)程中形成幾乎完全垂直于晶圓表面的側(cè)壁,是一種各向異性的刻蝕。刻蝕后的側(cè)壁非常垂直,底部平坦。這是理想的刻蝕形態(tài),它能夠非常精確地復(fù)制掩膜上的圖案。
    發(fā)表于 03-27 10:49 ?556次閱讀
    什么是線<b class='flag-5'>刻蝕</b> 干法線<b class='flag-5'>刻蝕</b>的常見(jiàn)形貌介紹

    刻蝕機(jī)是干什么用的 刻蝕機(jī)和光刻機(jī)的區(qū)別

    刻蝕機(jī)的刻蝕過(guò)程和傳統(tǒng)的雕刻類似,先用光刻技術(shù)將圖形形狀和尺寸制成掩膜,再將掩膜與待加工物料模組裝好,將樣品置于刻蝕室內(nèi),通過(guò)化學(xué)腐蝕或物理磨蝕等方式將待加工物料表面的非掩膜區(qū)域刻蝕
    的頭像 發(fā)表于 03-11 15:38 ?8304次閱讀
    <b class='flag-5'>刻蝕</b>機(jī)是干什么用的 <b class='flag-5'>刻蝕</b>機(jī)和光刻機(jī)的區(qū)別

    計(jì)算機(jī)行業(yè)深度報(bào)告

    電子發(fā)燒友網(wǎng)站提供《計(jì)算機(jī)行業(yè)深度報(bào)告.pdf》資料免費(fèi)下載
    發(fā)表于 03-04 10:07 ?0次下載

    什么是刻蝕呢?干法刻蝕與濕法刻蝕又有何區(qū)別和聯(lián)系呢?

    在半導(dǎo)體加工工藝中,常聽(tīng)到的兩個(gè)詞就是光刻(Lithography)和刻蝕(Etching),它們像倆兄弟一樣,一前一后的出現(xiàn),有著千絲萬(wàn)縷的聯(lián)系,這一節(jié)介紹半導(dǎo)體刻蝕工藝。
    的頭像 發(fā)表于 01-26 10:01 ?2572次閱讀
    什么是<b class='flag-5'>刻蝕</b>呢?干法<b class='flag-5'>刻蝕</b>與濕法<b class='flag-5'>刻蝕</b>又有何區(qū)別和聯(lián)系呢?

    干法刻蝕常用設(shè)備的原理及結(jié)構(gòu)

    。常見(jiàn)的干法刻蝕設(shè)備有反應(yīng)離子刻蝕機(jī)(RIE)、電感耦合等離子體刻蝕機(jī)(ICP)、磁性中性線等離子體刻蝕機(jī)(NLD)、離子束
    的頭像 發(fā)表于 01-20 10:24 ?5846次閱讀
    干法<b class='flag-5'>刻蝕</b>常用<b class='flag-5'>設(shè)備</b>的原理及結(jié)構(gòu)

    刻蝕終點(diǎn)探測(cè)進(jìn)行原位測(cè)量

    設(shè)備和技術(shù)來(lái)實(shí)現(xiàn)圖形的微縮與先進(jìn)技術(shù)的開(kāi)發(fā)。隨著半導(dǎo)體器件尺寸縮減、工藝復(fù)雜程度提升,制造工藝中刻蝕工藝波動(dòng)的影響將變得明顯。刻蝕終點(diǎn)探測(cè)用于確定刻蝕工藝是否完成、且沒(méi)有剩余材料可供
    的頭像 發(fā)表于 01-19 16:02 ?515次閱讀
    為<b class='flag-5'>刻蝕</b>終點(diǎn)探測(cè)進(jìn)行原位測(cè)量

    半導(dǎo)體零部件行業(yè)深度報(bào)告

    半導(dǎo)體設(shè)備主要應(yīng)用于集成電路的制造和封測(cè)環(huán)節(jié),可細(xì)分為晶圓制造設(shè)備(前道設(shè)備)和封裝、測(cè)試設(shè)備(后道設(shè)備)。其中,前道
    的頭像 發(fā)表于 12-27 10:57 ?690次閱讀
    半導(dǎo)體零部件行業(yè)<b class='flag-5'>深度</b><b class='flag-5'>報(bào)告</b>

    北方華創(chuàng)公開(kāi)“刻蝕方法和半導(dǎo)體工藝設(shè)備”相關(guān)專利

    該專利詳細(xì)闡述了一種針對(duì)含硅有機(jī)介電層的高效刻蝕方法及相應(yīng)的半導(dǎo)體工藝設(shè)備。它主要涉及到通過(guò)交替運(yùn)用至少兩個(gè)刻蝕步驟來(lái)刻蝕含硅有機(jī)介電層。這兩個(gè)步驟分別為第一
    的頭像 發(fā)表于 12-06 11:58 ?920次閱讀
    北方華創(chuàng)公開(kāi)“<b class='flag-5'>刻蝕</b>方法和半導(dǎo)體工藝<b class='flag-5'>設(shè)備</b>”相關(guān)專利

    深度分析可穿戴設(shè)備:當(dāng)今最火的科技

    電子發(fā)燒友網(wǎng)站提供《深度分析可穿戴設(shè)備:當(dāng)今最火的科技.doc》資料免費(fèi)下載
    發(fā)表于 10-31 11:25 ?0次下載
    <b class='flag-5'>深度</b><b class='flag-5'>分析</b>可穿戴<b class='flag-5'>設(shè)備</b>:當(dāng)今最火的科技