0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

正點原子開拓者FPGA:數(shù)碼管動態(tài)顯示實驗

電子硬件DIY視頻 ? 來源:電子硬件DIY視頻 ? 2019-09-09 06:09 ? 次閱讀

數(shù)碼管動態(tài)顯示接口單片機中應(yīng)用最為廣泛的一種顯示方式之一,動態(tài)驅(qū)動是將所有數(shù)碼管的8個顯示筆劃"a,b,c,d,e,f,g,dp"的同名端連在一起,另外為每個數(shù)碼管的公共極COM增加位選通控制電路,位選通由各自獨立的I/O線控制,當單片機輸出字形碼時,所有數(shù)碼管都接收到相同的字形碼,但究竟是哪個數(shù)碼管會顯示出字形,取決于單片機對位選通COM端電路的控制,所以我們只要將需要顯示的數(shù)碼管的選通控制打開,該位就顯示出字形,沒有選通的數(shù)碼管就不會亮。通過分時輪流控制各個數(shù)碼管的的COM端,就使各個數(shù)碼管輪流受控顯示,這就是動態(tài)驅(qū)動。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21539

    瀏覽量

    600479
  • 單片機
    +關(guān)注

    關(guān)注

    6026

    文章

    44453

    瀏覽量

    630842
  • 數(shù)碼管
    +關(guān)注

    關(guān)注

    32

    文章

    1871

    瀏覽量

    90727
收藏 人收藏

    評論

    相關(guān)推薦

    正點原子FPGA連載】第十二章 動態(tài)數(shù)碼管顯示實驗

    /1WzZfnb0gGPkthV7x_SrsKw 提取碼:vjpn4)對正點原子FPGA感興趣的同學(xué)可以加群討論:712557122點擊加入:5)關(guān)注正點
    發(fā)表于 06-15 22:54

    正點原子開拓者FPGA開發(fā)板資料連載第十二章 動態(tài)數(shù)碼管顯示實驗

    1)實驗平臺:正點原子開拓者FPGA 開發(fā)板2)摘自《開拓者
    發(fā)表于 07-30 14:55

    完成數(shù)碼管動態(tài)顯示的方法

    開拓者FPGA開發(fā)板上有六個共陽極八段數(shù)碼管,本實驗將完成數(shù)碼管動態(tài)顯示。
    發(fā)表于 01-18 10:25

    分享一個基本的數(shù)碼管動態(tài)顯示案例

    1、分享一個基本的數(shù)碼管動態(tài)顯示案例數(shù)碼管動態(tài)顯示原理在開展本章實驗之前,我們需要先了解一下數(shù)碼管
    發(fā)表于 08-01 15:21

    FPGA入門實現(xiàn)教程之數(shù)碼管動態(tài)顯示

    FPGA入門實現(xiàn)教程之數(shù)碼管動態(tài)顯示
    發(fā)表于 11-13 16:25 ?93次下載

    數(shù)碼管動態(tài)顯示實驗

    數(shù)碼管動態(tài)顯示實驗一、實驗目的在實際的單片機系統(tǒng)中,往往需要多位顯示。動態(tài)顯示是一種最常見的多位
    發(fā)表于 03-23 10:45 ?9813次閱讀

    基于FPGA的8段數(shù)碼管動態(tài)顯示IP核設(shè)計

    基于FPGA的8段數(shù)碼管動態(tài)顯示IP核設(shè)計  引言   數(shù)碼管顯示簡單的字符和數(shù)字,由于其價格低廉、性能穩(wěn)定、
    發(fā)表于 02-03 10:03 ?2350次閱讀
    基于<b class='flag-5'>FPGA</b>的8段<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>動態(tài)顯示</b>IP核設(shè)計

    數(shù)碼管動態(tài)顯示)【C語言版】

    數(shù)碼管動態(tài)顯示)【C語言版】數(shù)碼管動態(tài)顯示)【C語言版】數(shù)碼管動態(tài)顯示)【C語言版】
    發(fā)表于 12-29 15:51 ?0次下載

    MIN數(shù)碼管動態(tài)顯示

    數(shù)碼管動態(tài)顯示,MIN數(shù)碼管動態(tài)顯示,MIN。
    發(fā)表于 05-03 10:48 ?9次下載

    使用FPGA DIY控制板實現(xiàn)數(shù)碼管動態(tài)顯示功能

    數(shù)碼管動態(tài)顯示——FPGA
    的頭像 發(fā)表于 06-22 01:00 ?4699次閱讀
    使用<b class='flag-5'>FPGA</b> DIY控制板實現(xiàn)<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>動態(tài)顯示</b>功能

    采用FPGA DIY開發(fā)板實現(xiàn)數(shù)碼管動態(tài)顯示60計數(shù)

    asean的 FPGA DIY 數(shù)碼管動態(tài)顯示60計數(shù)視頻
    的頭像 發(fā)表于 06-20 12:04 ?3324次閱讀

    正點原子開拓者FPGA開發(fā)板配套視頻:FPGA是什么

    正點原子開拓者FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:02 ?2152次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b>開發(fā)板配套視頻:<b class='flag-5'>FPGA</b>是什么

    正點原子開拓者FPGA開發(fā)板配套視頻(1)

    正點原子開拓者FPGA開發(fā)板配套視頻
    的頭像 發(fā)表于 09-04 06:00 ?1983次閱讀
    <b class='flag-5'>正點</b><b class='flag-5'>原子</b><b class='flag-5'>開拓者</b><b class='flag-5'>FPGA</b>開發(fā)板配套視頻(1)

    FPGA入門系列實驗教程之使用FPGA實現(xiàn)數(shù)碼管動態(tài)顯示的資料免費下載

    實現(xiàn)開發(fā)板上 8 個數(shù)碼管動態(tài)顯示 0~7。通過這個實驗,掌握采用 Verilog HDL語言編程實現(xiàn) 7 段數(shù)碼管顯示譯碼器以及
    發(fā)表于 06-12 16:32 ?15次下載
    <b class='flag-5'>FPGA</b>入門系列<b class='flag-5'>實驗</b>教程之使用<b class='flag-5'>FPGA</b>實現(xiàn)<b class='flag-5'>數(shù)碼管</b><b class='flag-5'>動態(tài)顯示</b>的資料免費下載

    數(shù)碼管動態(tài)顯示的原理概述

    動態(tài)顯示的特點是將所有數(shù)碼管的段選線并聯(lián)在一起,由位選線控制是哪一位數(shù)碼管有效。選亮數(shù)碼管采用動態(tài)掃描
    發(fā)表于 11-04 08:00 ?0次下載