電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>嵌入式技術(shù)>嵌入式設(shè)計(jì)應(yīng)用>可編程器件在雷達(dá)數(shù)據(jù)處理中的應(yīng)用

可編程器件在雷達(dá)數(shù)據(jù)處理中的應(yīng)用

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

五大優(yōu)勢(shì)凸顯 可編程邏輯或?qū)⒊尸F(xiàn)快速增長(zhǎng)

可編程邏輯器件的兩種類型是現(xiàn)場(chǎng)可編程門陣列(FPGA)和復(fù)雜可編程邏輯器件(CPLD)。在這兩類可編程邏輯器件中,F(xiàn)PGA是在PAL、GAL、EPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
2016-01-04 17:55:081404

手機(jī)應(yīng)用中的可編程片上系統(tǒng)器件

可編程片上系統(tǒng)(PSoC)是由MCU與可編程邏輯和高性能模數(shù)轉(zhuǎn)換功能以及常用固定功能外設(shè)組合而成。此外,這些器件還集成有閃存、SRAM及EEPROM.
2016-12-28 11:26:071080

利用可編程器件CPLD/FPGA實(shí)現(xiàn)VGA圖像控制器的設(shè)計(jì)方案

利用可編程器件CPLD/FPGA實(shí)現(xiàn)VGA彩色顯示控制器在工業(yè)現(xiàn)場(chǎng)中有許多實(shí)際應(yīng)用。以硬件描述語(yǔ)言VHDL對(duì)可編程器件進(jìn)行功能模塊設(shè)計(jì)、仿真綜合,可實(shí)現(xiàn)VGA顯示控制器顯示各種圖形、圖像、文字,并實(shí)現(xiàn)了動(dòng)畫(huà)效果。
2020-08-30 12:03:59882

可編程控制器PLC的選型規(guī)則

、運(yùn)算功能簡(jiǎn)單可編程邏輯控制器PLC的運(yùn)算功能包括邏輯運(yùn)算、計(jì)時(shí)和計(jì)數(shù)功能;普通可編程邏輯控制器的運(yùn)算功能還包括數(shù)據(jù)移位、比較等運(yùn)算功能;較復(fù)雜運(yùn)算功能有代數(shù)運(yùn)算、數(shù)據(jù)傳送等;大型可編程邏輯控制器還有
2018-10-29 14:39:39

可編程模擬器件可重構(gòu)結(jié)構(gòu)的應(yīng)用設(shè)計(jì)有哪些?

什么是可編程模擬器件?如何去實(shí)現(xiàn)相位檢測(cè)器?實(shí)現(xiàn)可編程ADC有哪些方法?
2021-04-28 06:50:09

可編程模擬的各種架構(gòu)

吸引力。一些需求能很好地適合這些器件的優(yōu)點(diǎn):保持某個(gè)產(chǎn)品領(lǐng)域的設(shè)計(jì)前沿,不花費(fèi)很多的設(shè)計(jì)成本,并且不存在會(huì)影響任何一個(gè)設(shè)計(jì)進(jìn)入量產(chǎn)的最終用戶需求。這樣的日子可能為時(shí)不遠(yuǎn)了。不過(guò),如果可編程模擬時(shí)代
2019-07-05 07:51:19

可編程溫度傳感器DS18B20的知識(shí)點(diǎn),總結(jié)的太棒了

介紹一種新型的可編程溫度傳感器DS18B20,它能代替模擬溫度傳感器和信號(hào)處理電路,直接與單片機(jī)溝通,完成溫度采集和數(shù)據(jù)處理。
2021-04-09 06:01:05

可編程邏輯控制器PLC的核心處理功能有哪些

可編程邏輯控制器PLC是什么?可編程邏輯控制器PLC的核心處理功能有哪些?
2021-09-18 06:15:22

可編程邏輯簡(jiǎn)介

什么是可編程邏輯?  在數(shù)字電子系統(tǒng)領(lǐng)域,存在三種基本的器件類型:存儲(chǔ)器、微處理器和邏輯器件。存儲(chǔ)器用來(lái)存儲(chǔ)隨機(jī)信息,如數(shù)據(jù)表或數(shù)據(jù)庫(kù)的內(nèi)容。微處理器執(zhí)行軟件指令來(lái)完成范圍廣泛的任務(wù),如運(yùn)行字處理
2019-07-10 08:16:49

可編程器件編程原理是什么?

可編程器件編程原理是什么?指令集對(duì)CPU的意義是什么?
2021-11-30 07:39:47

可編程邏輯器件

數(shù)據(jù)處理和存儲(chǔ),以及到儀器儀表、電信和數(shù)字信號(hào)處理等。被應(yīng)用的很到位!可編程邏輯器件設(shè)計(jì)過(guò)程為客戶提供了更大的靈活性,因?yàn)閷?duì)于可編程邏輯器件來(lái)說(shuō),設(shè)計(jì)反復(fù)只需要簡(jiǎn)單地改變編程文件就可以了,而且設(shè)計(jì)改變
2014-04-15 10:02:54

可編程邏輯器件發(fā)展歷史

)幾個(gè)發(fā)展階段,其中 CPLD/FPGA 屬高密度可編程邏輯器件,CPLD 和 FPGA 是 80年代中后期出現(xiàn)的,其特點(diǎn)是具有用戶可編程的特性。 利用 CPLD/FPGA,電子系統(tǒng)設(shè)計(jì)工程師可以實(shí)驗(yàn)室
2019-02-26 10:08:08

可編程邏輯器件和ASIC,兩者有什么不同區(qū)別?

階段需要處理晶片上的不同位置,這就需要遮住其他的不需要處理的地方,稱為不同的掩膜層),由半導(dǎo)體廠家制造;另一種是使用現(xiàn)場(chǎng)可編程器件實(shí)現(xiàn),用戶通過(guò)計(jì)算機(jī)和EDA開(kāi)發(fā)工具,將所設(shè)計(jì)的電路或則是系統(tǒng)“編程”到
2021-07-13 08:00:00

可編程邏輯器件是如何發(fā)展的?

可編程邏輯器件是如何發(fā)展的?
2021-04-29 06:23:22

ARM可編程器件的原理是什么?其運(yùn)行過(guò)程是怎樣的

一、可編程器件的特點(diǎn) 1、CPU固定頻率的時(shí)鐘控制下節(jié)奏運(yùn)行。 2、CPU可以通過(guò)總線讀取外部存儲(chǔ)設(shè)備中二進(jìn)制指令集,然后解碼執(zhí)行。 3、這些可以被CPU解碼執(zhí)行的二進(jìn)制指令集是CPU設(shè)計(jì)的時(shí)候
2022-05-23 15:37:50

FPGA可編程器件和CPLD可編程器件有哪些相同點(diǎn)和不同點(diǎn)

CPLD是什么?FPGA包含哪幾類可編程資源呢?FPGA可編程器件和CPLD可編程器件有哪些相同點(diǎn)和不同點(diǎn)?
2021-11-10 07:42:51

FPGA零基礎(chǔ)學(xué)習(xí):半導(dǎo)體存儲(chǔ)器和可編程邏輯器件簡(jiǎn)介

應(yīng)用,這種快樂(lè)試試你就會(huì)懂的。話不多說(shuō),上貨。半導(dǎo)體存儲(chǔ)器和可編程邏輯器件簡(jiǎn)介半導(dǎo)體存儲(chǔ)器是一種能存儲(chǔ)大量二值信息的半導(dǎo)體器件電子計(jì)算機(jī)以及其他一些數(shù)字系統(tǒng)的工作過(guò)程,都需要對(duì)大量的數(shù)據(jù)進(jìn)行存儲(chǔ)
2023-02-23 15:24:55

PLD/可編程邏輯器件的入門知識(shí)

資料 它向系統(tǒng)板上的器件提供配置或編程數(shù)據(jù),這就是所謂的在線可編程(ISP,如下圖)。Byteblaster使用戶能夠獨(dú)立地配置PLD器件,而不需要編程器或任何其它編程硬件。編程電纜可以向代理商購(gòu)買,也
2009-06-20 10:38:05

PLD可編程邏輯器件

,足以滿足設(shè)計(jì)一般的數(shù)字系統(tǒng)的需要。目前常用EEPROM,CPLD,F(xiàn)PGA。 PLA,PAL,GAL是早期的可編程器件,已經(jīng)淘汰。可編程邏輯器件PLD(Programmable Logic Dev...
2021-07-22 09:05:48

PSoC Creator如何簡(jiǎn)化可編程器件上的軟硬件協(xié)同設(shè)計(jì)?

PSoC Creator簡(jiǎn)化可編程器件上的軟硬件協(xié)同設(shè)計(jì)
2021-02-23 06:50:24

Xilinx可編程邏輯器件的高級(jí)應(yīng)用與設(shè)計(jì)技巧絕版教程

可編程邏輯器件的電源、接地和去耦網(wǎng)絡(luò)設(shè)計(jì)8.7 LVDS接口設(shè)計(jì)8.8 CPLD器件設(shè)計(jì)需注意的一些問(wèn)題8.9 本章小結(jié)第9章 Virtex-Ⅱ Pro MGT高速串行I/O設(shè)計(jì)9.1 概述9.2
2012-02-27 14:43:30

fpga設(shè)計(jì)雙口RAM雷達(dá)數(shù)據(jù)處理上的應(yīng)用

[attach]***[/attach](給出雙口RAM的結(jié)構(gòu).介紹雙口RAM的忙邏輯,并主要介紹了雷達(dá)終端的數(shù)據(jù)處理過(guò)程中兩個(gè) CPU通過(guò)雙El RAM進(jìn)行數(shù)據(jù)的儲(chǔ)存、交換和共享的設(shè)計(jì)原理和方法。
2012-08-11 16:21:22

與普通模擬電路相比,可編程模擬器件有哪些優(yōu)勢(shì)?

可編程模擬器件內(nèi)部結(jié)構(gòu)與基本開(kāi)發(fā)流程是怎樣的?
2021-04-12 06:38:19

專家都是如何使用超低功耗的復(fù)雜可編程邏輯器件(CPLD)的?

專家都是如何使用超低功耗的復(fù)雜可編程邏輯器件(CPLD)的?從他們的嵌入式設(shè)計(jì)的I/O子系統(tǒng)中學(xué)到了什么?
2021-04-08 06:31:20

什么是可編程邏輯

什么是可編程邏輯 ? ??在數(shù)字電子系統(tǒng)領(lǐng)域,存在三種基本的器件類型:存儲(chǔ)器、微處理器和邏輯器件。存儲(chǔ)器用來(lái)存儲(chǔ)隨機(jī)信息,如數(shù)據(jù)表或數(shù)據(jù)庫(kù)的內(nèi)容。微處理器執(zhí)行軟件指令來(lái)完成范圍廣泛的任務(wù),如運(yùn)行字文
2009-05-29 11:36:21

什么是可編程邏輯控制器?可編程邏輯控制器有哪些特點(diǎn)?

什么是可編程邏輯控制器?可編程邏輯控制器主要有哪些特點(diǎn)?可編程邏輯控制器主要有哪些應(yīng)用領(lǐng)域?
2021-07-05 06:00:06

關(guān)于可編程器件你想知道的都在這

本文詳細(xì)介紹了可編程器件、可重編程器件和可重配置器件的基本概念,它對(duì)正確選擇器件很有必要。
2021-04-29 06:29:09

基于可編程模擬器件的小信號(hào)測(cè)量系統(tǒng)應(yīng)用

1 引 言   系統(tǒng)可編程模擬電路(In System ProgrammabilityProgrammable Analog Circuits,ispPAC)是可編程模擬器件的一種,其內(nèi)部有可編程
2019-07-02 07:06:27

基于EDA技術(shù)的可編程邏輯器件在數(shù)字信號(hào)處理系統(tǒng)的應(yīng)用

摘要:介紹了可編程邏輯器件在數(shù)字信號(hào)處理系統(tǒng)的應(yīng)用。并運(yùn)用VHDL語(yǔ)言對(duì)采用Lattice公司的ispLSI1032E可編程邏輯器件所構(gòu)成的乘法器的結(jié)構(gòu)、原理及各位加法器的VHDL作了詳細(xì)的描述
2019-06-28 06:14:11

基于ISP芯片的可編程數(shù)字移相器設(shè)計(jì)

、CMOS系列)按傳統(tǒng)數(shù)字系統(tǒng)設(shè)計(jì)方法設(shè)計(jì)而成,其主要缺點(diǎn)是邏輯規(guī)模小、功耗大、可靠性低。本文介紹一種基于系統(tǒng)可編程邏輯器件實(shí)現(xiàn)的新型可編程數(shù)字移相器的設(shè)計(jì)方案,該移相器移相范圍為0~360°,分辨率為1°,它可以方便地和微處理機(jī)及其它設(shè)備聯(lián)接,以構(gòu)成自動(dòng)化同步檢測(cè)器數(shù)據(jù)處理系統(tǒng)。
2019-07-09 06:55:53

如何利用Lattice公司的可編程器件設(shè)計(jì)車用顯示系統(tǒng)?

本文將主要介紹如何利用Lattice公司的可編程器件設(shè)計(jì)車用顯示系統(tǒng)。
2021-05-17 06:09:40

如何更有效地使用可編程時(shí)鐘器件?

如何更有效地使用可編程時(shí)鐘器件?設(shè)計(jì)SERDES參考時(shí)鐘源面臨的挑戰(zhàn)有哪些?如何去實(shí)現(xiàn)XAUI參考時(shí)鐘源?
2021-04-20 06:03:53

如何設(shè)計(jì)基于FPGA的可編程電壓源系統(tǒng)?

可編程電源指某些功能或參數(shù)可以通過(guò)計(jì)算機(jī)軟件編程進(jìn)行控制的電源。可編程電源的實(shí)現(xiàn)方法有很多種。其中,現(xiàn)場(chǎng)可編程門陣列(Field ProgrammableGate Array,F(xiàn)PGA)具有性能好,規(guī)模大,可重復(fù)編程,開(kāi)發(fā)投資小等優(yōu)點(diǎn)。
2019-11-04 06:26:07

如何通過(guò)ARM對(duì)可編程器件進(jìn)行配置?

通過(guò)ARM對(duì)可編程器件進(jìn)行配置的的設(shè)計(jì)和實(shí)現(xiàn)
2021-04-13 06:20:21

怎么實(shí)現(xiàn)基于可編程邏輯器件的數(shù)字電路設(shè)計(jì)?

怎么實(shí)現(xiàn)基于可編程邏輯器件的數(shù)字電路設(shè)計(jì)?
2021-05-06 08:36:18

怎么采用可編程邏輯器件設(shè)計(jì)數(shù)字系統(tǒng)?

本文以乘法器的設(shè)計(jì)為例,來(lái)說(shuō)明采用可編程邏輯器件設(shè)計(jì)數(shù)字系統(tǒng)的方法。
2021-04-29 06:22:10

現(xiàn)場(chǎng)可編程門陣列有哪些應(yīng)用?

現(xiàn)場(chǎng)可編程門陣列(FPGA)是一種可編程邏輯器件,由成千上萬(wàn)個(gè)完全相同的可編程邏輯單元組成,周圍是輸入/輸出單元構(gòu)成的外設(shè)。制造完成后,F(xiàn)PGA可以工作現(xiàn)場(chǎng)編程,以便實(shí)現(xiàn)特定的設(shè)計(jì)功能。典型設(shè)計(jì)工
2019-08-06 08:27:36

相控陣雷達(dá)數(shù)據(jù)處理及其仿真技術(shù)

相控陣雷達(dá)數(shù)據(jù)處理及其仿真技術(shù)
2012-10-17 15:35:03

請(qǐng)教器件可編程的基本概念

RAM就可以改變可編程器件的邏輯。可編程器件的LE,其輸入陣列是不是列和行都是實(shí)際導(dǎo)線,根據(jù)具體的需要把需要的節(jié)點(diǎn)‘焊接'在一起。忘指點(diǎn)。謝謝。
2013-07-13 17:06:40

請(qǐng)問(wèn)如何去設(shè)計(jì)可編程器件輔助軟件?

HAD輔助設(shè)計(jì)軟件有哪些功能?電路模塊HDL程序是怎樣生成的?管理電路單元庫(kù)程序的設(shè)計(jì)思路是怎樣的?請(qǐng)問(wèn)如何去設(shè)計(jì)可編程器件輔助軟件?
2021-04-14 06:21:42

請(qǐng)問(wèn)如何選擇PLC可編程邏輯器件?

如何選擇PLC可編程邏輯器件
2021-04-27 06:39:03

高精度可編程電壓源

運(yùn)用ADI/Linear產(chǎn)品的超高精度可編程電壓源提供更清晰的器官和軟組織圖像,醫(yī)療專業(yè)人員將能更準(zhǔn)確地探知心臟問(wèn)題、腫瘤、囊腫和身體各部分的異常。這只是該可編程電壓源的諸多應(yīng)用之一。今天的"組合參考電路"系列文章,我們將介紹一款同時(shí)運(yùn)用ADI/Linear產(chǎn)品的超
2019-07-25 07:00:37

可編程邏輯器件設(shè)計(jì)

可編程邏輯器件設(shè)計(jì) (264頁(yè),nlc格式)
2006-03-25 16:41:0166

可編程器件與MAX+plusII簡(jiǎn)介

熟悉常用邏輯器件的分類;了解常用可編程邏輯器件的內(nèi)部結(jié)構(gòu);熟悉可編程邏輯器件的開(kāi)發(fā)流程;掌握MAX+plusII軟件。重點(diǎn):基于MAX+plusII軟件進(jìn)行PLD設(shè)計(jì)的流程。難點(diǎn):PLD的
2008-12-01 17:36:4519

復(fù)雜可編程器件在位移測(cè)量系統(tǒng)中的應(yīng)用

可編程邏輯器件是當(dāng)今IC 領(lǐng)域中發(fā)展迅速,應(yīng)用廣泛的器件之一。介紹了CPLD 在調(diào)頻式位移傳感器測(cè)量系統(tǒng)中的一種應(yīng)用. 新設(shè)計(jì)的傳感器接口電路,解決了利用現(xiàn)有的IC 器件進(jìn)行設(shè)計(jì)
2009-06-22 10:51:0312

可編程模擬器件原理與開(kāi)發(fā)

可編程模擬器件原理與開(kāi)發(fā)作者:趙曙光陳麗萍 殷延瑞 趙明英關(guān)鍵詞:可編程模擬器件,模擬集成電路,ASIC摘要:可編程模擬器件(Programmable Analog Device)是近年來(lái)嶄露頭
2010-02-06 17:05:2744

可編程邏輯器件基礎(chǔ)及應(yīng)用實(shí)驗(yàn)指導(dǎo)書(shū)

可編程邏輯器件基礎(chǔ)及應(yīng)用實(shí)驗(yàn)指導(dǎo)書(shū) 《可編程邏輯器件基礎(chǔ)及應(yīng)用》是一門側(cè)重掌握可編程邏輯器件的基本結(jié)構(gòu)和原理的課程。重點(diǎn)是使學(xué)生掌握基于可編程
2010-03-24 14:22:4629

單片機(jī)與可編程器件教程

單片機(jī)與可編程器件教程 本教程包括: 一.用AT89C52 和TLC1543實(shí)現(xiàn)數(shù)據(jù)采集系統(tǒng) 二.紅外學(xué)習(xí)機(jī)的設(shè)計(jì)原理及應(yīng)用江蘇張家港沙洲工學(xué)院 趙海蘭摘要 簡(jiǎn)單介紹
2010-04-14 14:38:4844

在系統(tǒng)可編程器件在模擬電路中的應(yīng)用

介紹了在系統(tǒng)可編程技術(shù)的特點(diǎn),以及在系統(tǒng)可編程模擬器件的內(nèi)部結(jié)構(gòu)最后通過(guò)一個(gè)有源濾波囂的設(shè)計(jì)實(shí)例介紹了在系統(tǒng)可縞程器件在模擬電路中的應(yīng)用.關(guān)鍵詞模擬電路
2010-04-25 10:08:5714

在系統(tǒng)可編程模擬器件ISPPAC20及其應(yīng)用

摘要:可編程器件已有很久的發(fā)展歷史,除了它體積小、容量大、I/O口豐富、易編程和加密等優(yōu)點(diǎn)外,更突出的特點(diǎn)是其芯片的在系統(tǒng)可編程技術(shù)。也就是說(shuō)它不但具有可編程和可
2010-07-12 10:04:4732

高速可編程遙感衛(wèi)星數(shù)據(jù)模擬源的設(shè)計(jì)與實(shí)現(xiàn)

本文以高性能可編程邏輯器件,高精度、低抖動(dòng)、低畸變可編程時(shí)鐘芯片為核心器件,采用PCI總線技術(shù),設(shè)計(jì)了高速可編程遙感衛(wèi)星數(shù)據(jù)模擬源,在使用windows2000 的高性能服務(wù)器上
2010-08-09 15:27:0311

基于可編程器件的任意進(jìn)制計(jì)數(shù)器的設(shè)計(jì)

采用可編程器件設(shè)計(jì)電路,利用MAX+plus II設(shè)計(jì)軟件中LPM元件庫(kù)所提供的lpm_counter元件,實(shí)現(xiàn)任意進(jìn)制計(jì)數(shù)器的設(shè)計(jì)。該計(jì)數(shù)器電路與結(jié)構(gòu)無(wú)關(guān),可編程器件的芯片利用率及效率達(dá)到最
2010-12-29 17:47:0755

第三十二講 可編程邏輯器件及應(yīng)用

第三十二講 可編程邏輯器件及應(yīng)用第10章 可編程邏輯器件及應(yīng)用10.1 概述10.1.1 PLD器件的基本結(jié)構(gòu)10.1.2 PLD器件的分類10.1.3 PLD器件的優(yōu)點(diǎn)一、
2009-03-30 16:37:511333

什么是PLD(可編程邏輯器件)

什么是PLD(可編程邏輯器件) PLD是可編程邏輯器件(Programable Logic Device)的簡(jiǎn)稱,F(xiàn)PGA是現(xiàn)場(chǎng)可編程門陣列(Field Programable Gate Array)
2009-06-20 10:32:3214281

可編程模擬器件原理與開(kāi)發(fā)

摘要:介紹了可編程模擬器件的基本原理和開(kāi)發(fā)流程。列舉了主流器件系列,并說(shuō)明其核心技術(shù)。展望了可編程模擬器件的發(fā)展前景。 關(guān)鍵詞:
2009-06-20 11:47:341164

ispPAC10在系統(tǒng)可編程模擬器及其應(yīng)用

摘要:ispPAC10是美國(guó)Lattice公司最新推出的模擬電路在系統(tǒng)可編程器件,它為電子電路設(shè)計(jì)者進(jìn)行電路設(shè)計(jì)提供了一條有效的新途徑。文中介紹了ispPAC模擬電路在系統(tǒng)可編程器件
2009-06-20 11:49:261288

可編程SoC(SoPC),什么是可編程SoC(SoPC)

可編程SoC(SoPC),什么是可編程SoC(SoPC) SOPC ( System on a Programmable Chip,片上可編程系統(tǒng))是以PLD(可編程邏輯器件)取代ASIC(專用集成電路),更
2010-03-26 17:01:352336

Altera發(fā)布光纖互連可編程器件

Altera公司日前發(fā)布其光纖互連可編程器件規(guī)劃。收發(fā)器是業(yè)界發(fā)展的關(guān)鍵,因此,Altera發(fā)揮在這一領(lǐng)域的技術(shù)領(lǐng)先優(yōu)勢(shì),將這一遠(yuǎn)景展望變?yōu)楝F(xiàn)實(shí)
2011-03-31 09:28:38746

EDA技術(shù)與應(yīng)用(可編程邏輯器件)

7.1 可編程邏輯器件的基本原理 7.2 可編程邏輯器件的設(shè)計(jì)技術(shù) 7.3 可編程邏輯器件編程與配置
2012-05-23 10:46:19142

Xilinx全可編程器件助力中興通訊Pre5G 3D/大規(guī)模MIMO基站

2015年3月3日,中國(guó)北京 - All Programmable 技術(shù)和器件的全球領(lǐng)先企業(yè)賽靈思公司(Xilinx, Inc. (NASDAQ:XLNX))今天宣布其全可編程器件將支持中興通訊的pre5G 3D/大規(guī)模MIMO基站。
2015-03-05 16:50:571053

可編程器件實(shí)現(xiàn)LED顯示屏的硬件掃描控制

可編程器件實(shí)現(xiàn)LED顯示屏的硬件掃描控制
2017-01-18 20:40:3321

一個(gè)DSP怪物的演化:具有大量DSP的UltraScale+ 和UItraScale全可編程器件

作者:Steve Leibson, 賽靈思戰(zhàn)略營(yíng)銷與業(yè)務(wù)規(guī)劃總監(jiān) 采用16nm工藝的Virtex UltraScale+全可編程器件的最多DSP數(shù)量是11904DSP48E2 slices。那是
2017-02-08 03:10:31363

可編程器件發(fā)展看FPGA未來(lái)趨勢(shì)

可編程邏輯器件的發(fā)展歷史可編程邏輯器件的發(fā)展可以劃分為4個(gè)階段,即從20世紀(jì)70年代初到70年代中為第1段,20世紀(jì)70年代中到80年代中為第2階段,20世紀(jì)80年代到90年代末為第3階段,20世紀(jì)90年代末到目前為第4階段。
2017-02-11 17:04:112025

可編程邏輯器件(書(shū)皮)

可編程邏輯器件(書(shū)皮)
2022-07-10 14:34:540

可編程器件緒論

可編程器件緒論
2017-09-19 15:40:117

8255A_8255A-5可編程外設(shè)接口資料

  8255A是為intel公司的微處理機(jī)配套的通用可編程I/O器件。該器件有24條可編程i/o腳,這些引腳可分成兩組(每組12條)分別編程。
2017-09-25 15:43:289

編程器哪個(gè)牌子的好_編程器品牌排名介紹

本文主要介紹了八個(gè)編程器品牌排名。編程器可編程的集成電路寫(xiě)入數(shù)據(jù)的工具,編程器主要用于單片機(jī)或存儲(chǔ)器(含BIOS)之類的芯片的編程。
2018-04-10 17:21:3536254

干貨!使用嵌入式處理器對(duì)可編程邏輯器件編程

在嵌入式系統(tǒng)里除了嵌入式處理器外還會(huì)經(jīng)常使用到可編程邏輯器件,有些可編程邏輯器件在被焊接到印制電路板上之后還可以對(duì)其程序進(jìn)行更新,這種特性稱之為“在系統(tǒng)可重編程能力”(In SystemReprogrammability,ISR)。
2018-05-25 11:20:001458

可編程邏輯器件與FPGA的發(fā)展(1)

根據(jù)復(fù)雜度的相對(duì)級(jí)別,紛繁復(fù)雜的邏輯器件可大致分為三類(表1)。位于表中頂層的是現(xiàn)場(chǎng)可編程器件的霸主——FPGA以及它們的變體——自從1985年David W.Page和LuVerne
2018-09-25 09:17:005847

可編程器件上運(yùn)行的56G PAM4收發(fā)器技術(shù)演示

在這段視頻中,我們將向您展示業(yè)界首款可編程器件上運(yùn)行的56G收發(fā)器。
2018-11-27 06:34:003517

電子技術(shù)基礎(chǔ)知識(shí)存儲(chǔ)器、復(fù)雜可編程器件和現(xiàn)場(chǎng)可編程門陣列的介紹

本文檔的詳細(xì)介紹的是電子技術(shù)基礎(chǔ)知識(shí)存儲(chǔ)器、復(fù)雜可編程器件和現(xiàn)場(chǎng)可編程門陣列的介紹主要內(nèi)容包括了: 1 只讀存儲(chǔ)器,2 隨機(jī)存取存儲(chǔ)器,3 復(fù)雜可編程邏輯器件,4 現(xiàn)場(chǎng)可編程門陣列,5 用EDA技術(shù)和可編程器件的設(shè)計(jì)例題
2019-02-22 08:00:0028

可編程邏輯器件PLD介紹

關(guān)鍵詞:PLD , 可編程邏輯 在數(shù)字電子系統(tǒng)領(lǐng)域,存在三種基本的器件類型:存儲(chǔ)器、微處理器和邏輯器件。存儲(chǔ)器用來(lái)存儲(chǔ)隨機(jī)信息,如數(shù)據(jù)表或數(shù)據(jù)庫(kù)的內(nèi)容。微處理器執(zhí)行軟件指令來(lái)完成范圍廣泛的任務(wù)
2019-02-23 14:42:011471

利用嵌入式處理器對(duì)可編程邏輯器件進(jìn)行重編程

在嵌入式系統(tǒng)里除了嵌入式處理器外還會(huì)經(jīng)常使用到可編程邏輯器件,有些可編程邏輯器件在被焊接到印制電路板上之后還可以對(duì)其程序進(jìn)行更新,這種特性稱之為“在系統(tǒng)可重編程能力。
2020-01-26 09:29:001294

可編程邏輯器件的分類有哪些

可編程邏輯器件(PLD)是20世紀(jì)70年代發(fā)展起來(lái)的一種新型邏輯器件,是目前數(shù)字系統(tǒng)設(shè)計(jì)的主要硬件基礎(chǔ)。根據(jù)可編程邏輯器件結(jié)構(gòu)、集成度以及編程工藝的不同,它存在以下不同的分類方法。
2020-06-10 17:52:1926761

現(xiàn)場(chǎng)可編程門陣列簡(jiǎn)介

FPGA業(yè)界的可編程只讀存儲(chǔ)器(PROM)和可編程邏輯器件(PLD)萌芽。可編程只讀存儲(chǔ)器(PROM)和可編程邏輯器件(PLD)都可以分批在工廠或在現(xiàn)場(chǎng)(現(xiàn)場(chǎng)可編程編程,然而,可編程邏輯被硬線連接在邏輯門之間。
2021-04-07 10:14:0276

相控陣雷達(dá)技術(shù)叢書(shū)之相控陣雷達(dá)數(shù)據(jù)處理

相控陣雷達(dá)技術(shù)叢書(shū)之相控陣雷達(dá)數(shù)據(jù)處理
2021-10-18 10:25:290

雷達(dá)數(shù)據(jù)處理及應(yīng)用第3版pdf

雷達(dá)數(shù)據(jù)處理及應(yīng)用第3版pdf
2021-10-18 10:42:490

一文詳細(xì)了解可編程邏輯器件(PLD)

在過(guò)去的十年中,可編程邏輯器件(PLD)市場(chǎng)不斷增長(zhǎng),對(duì)PLD的需求不斷增加。具有可編程特性且可編程的芯片稱為PLD。PLD也稱為現(xiàn)場(chǎng)可編程器件(FPD)。FPD用于實(shí)現(xiàn)數(shù)字邏輯,用戶可以配置集成電路以實(shí)現(xiàn)不同的設(shè)計(jì)。這種集成電路的編程是通過(guò)使用EDA工具進(jìn)行特殊編程來(lái)完成的。
2022-03-22 12:36:245304

可編程邏輯器件EPLD是如何設(shè)計(jì)的

可編程邏輯器件(Electrically Programmable Logic Device,EPLD)是指采用電信號(hào)的可擦可編程邏輯器件
2022-08-22 18:12:37935

可編程邏輯器件的結(jié)構(gòu)

常見(jiàn)的可編程邏輯器件分為FPGA、EPLD(CPLD)。下面簡(jiǎn)單介紹兩類器件的結(jié)構(gòu)和區(qū)別。
2023-03-24 14:18:28798

可編程邏輯器件測(cè)試方法

門陣列 ( Field Programmable Gate Array, FPGA)器件等。隨著可編程器件的發(fā)展,可以將 CPU、DSP、ADC/DAC、存儲(chǔ)器等集成到一個(gè)可編程器件上,從而構(gòu)成可編程系統(tǒng)芯片 (System On Programmable Chip, SoPC),如圖所示。
2023-06-06 15:35:59659

可編程邏輯器件測(cè)試

可編程邏輯器件 (Programmable Loeie Device,PLD)是一種用戶編程實(shí)現(xiàn)某種邏輯功能的邏輯器件,主要由可編程的與陣列、或陣列、門陣列等組成,可通過(guò)編程來(lái)實(shí)現(xiàn)一定的邏輯功能。
2023-06-06 15:37:45404

什么叫可編程邏輯器件 可編程邏輯器件有哪些特征和優(yōu)勢(shì)?

可編程邏輯器件(Programmable Logic Device,PLD)是一類集成電路器件,可以根據(jù)用戶的需求進(jìn)行編程和配置,以實(shí)現(xiàn)特定的邏輯功能。它們具有可編程的邏輯門、時(shí)鐘資源和互連結(jié)構(gòu),可以替代傳統(tǒng)的固定功能邏輯芯片,提供更靈活和可定制的解決方案。
2023-09-14 15:25:551100

簡(jiǎn)單認(rèn)識(shí)現(xiàn)場(chǎng)可編程門陣列

現(xiàn)場(chǎng)可編程門陣列 (Field Programmable Gate Array, FPGA)也稱為現(xiàn)場(chǎng)可編程器件,是在 PROM ( Programmable Read Only Memory
2023-12-01 09:25:15318

可編程器件的特點(diǎn)和發(fā)展歷程

)和讀寫(xiě)存儲(chǔ)器(ROM)。 可編程器件的特點(diǎn)主要包括以下幾個(gè)方面: 可編程性:可編程器件可以根據(jù)需求進(jìn)行編程,改變其功能和行為。它們通常具有編程接口,可以通過(guò)軟件或硬件進(jìn)行編程。 強(qiáng)大的計(jì)算和處理能力:隨著技術(shù)的發(fā)展和集成度的提高,可編程器件的計(jì)算和處理
2023-12-21 17:19:43299

可編程邏輯器件的特征及優(yōu)勢(shì)科普

可編程邏輯器件是一種集成電路,具有可編程功能的特性。它們可以根據(jù)用戶的需求進(jìn)行編程,從而實(shí)現(xiàn)不同的邏輯功能。
2024-02-26 18:24:03576

已全部加載完成