電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>控制/MCU>基于82C52功能仿真驗(yàn)證的研究 - 全文

基于82C52功能仿真驗(yàn)證的研究 - 全文

上一頁(yè)12全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

共漏極放大器的原理與仿真驗(yàn)證

本文主要記錄共漏極放大器的原理與仿真驗(yàn)證。
2022-07-26 17:08:332390

基于OmniArk芯神鼎硬件仿真系統(tǒng)和QEMU的混合驗(yàn)證平臺(tái)

軟件仿真(Simulation),原型驗(yàn)證(Prototyping),以及硬件仿真(Emulation),是當(dāng)前主要的三種有效的驗(yàn)證方法,在芯片前端設(shè)計(jì)的功能驗(yàn)證階段起到了關(guān)鍵的作用。近年來(lái),由于
2023-07-31 23:16:05415

82C52

82C52 - CMOS Serial Controller Interface - Intersil Corporation
2022-11-04 17:22:44

82C52

82C52 - VOLTAGE DETECTORS - Unisonic Technologies
2022-11-04 17:22:44

功能仿真、綜合后仿真與時(shí)序仿真

功能仿真:可以驗(yàn)證代碼的邏輯性,不加任何的時(shí)延信息。仿真工具為modelsim(組合邏輯和時(shí)序邏輯都可以功能仿真),modelsim不能綜合。在modelsim中添加相應(yīng)的激勵(lì)信號(hào),調(diào)用
2016-08-23 16:57:06

AD9361 BIST功能驗(yàn)證相關(guān)事項(xiàng)

AD9361 BIST功能驗(yàn)證相關(guān)事項(xiàng)想請(qǐng)問(wèn)各位大拿,AD9361 BIST功能驗(yàn)證是用于驗(yàn)證收發(fā)通道的鏈路部分,而數(shù)據(jù)接口部分是不是驗(yàn)證不到?當(dāng)前調(diào)試過(guò)程中出現(xiàn)的問(wèn)題是:配置模式FDD 1R1T
2021-09-14 22:29:00

AT89C52各引腳功能及管腳電壓

AT89C52各引腳功能及管腳電壓概述:AT89C52P為40 腳雙列直插封裝的8 位通用微處理器,采用工業(yè)標(biāo)準(zhǔn)的C51內(nèi)核,在內(nèi)部功能及管腳排布上與通用的8xc52 相同,其主要用于會(huì)聚調(diào)整
2008-10-10 14:55:27

AT89C52學(xué)校實(shí)驗(yàn)箱教學(xué)用的程序和仿真,有匯編和C

AT89C52學(xué)校實(shí)驗(yàn)箱教學(xué)用的程序和仿真,有匯編和C一起學(xué)習(xí),一起分享
2012-12-29 00:48:22

AT89S52(STC89C52)的引腳功能介紹

第一章:AT89S52(STC89C52)的引腳功能介紹一、PDIP封裝引腳圖:二、引腳功能介紹IO口灌(流進(jìn))電流大,拉(流出)電流小。P0:漏極開路的雙向IO口,使用時(shí),當(dāng)電流流出需外加上拉電阻
2021-12-01 06:35:13

ATK-DAP仿真

ATK-DAP仿真器 BURNER 5V
2023-03-28 13:05:53

Cortex-R82的預(yù)取器功能分析

降低其他程序的性能。因此,即使Cortex-R82對(duì)預(yù)取器有一定的控制權(quán),如何啟用它也是一種實(shí)現(xiàn)選擇。這可以通過(guò)使用不同的控制選項(xiàng)來(lái)配置預(yù)取器功能來(lái)實(shí)現(xiàn)。在本文中,對(duì)Cortex-R82的預(yù)取器功能
2023-08-09 06:11:05

DAP仿真

DAP仿真器 BURNER
2023-03-28 13:06:20

E82EV551K2C變頻器

E82EV551K2C變頻器E82EV551K2C變頻器深圳市現(xiàn)代豪方儀器儀表科技有限公司吳經(jīng)理:0755-26998458/*** 工作Q:1662543848地址:深圳市南山區(qū)科技園南海大道
2019-03-18 17:00:34

E82EV551K4C變頻器

E82EV551K4C變頻器 E82EV551K4C變頻器深圳現(xiàn)代豪方儀器儀表科技有限公司吳經(jīng)理:0755-26998458/ 132,4667,5433工作Q:16625438488200
2019-03-18 17:32:12

FPGA設(shè)計(jì)的仿真驗(yàn)證概述

我們通常分為仿真驗(yàn)證和板級(jí)驗(yàn)證,在設(shè)計(jì)初步完成功能甚至即將上板調(diào)試前,通過(guò)EDA仿真工具模擬實(shí)際應(yīng)用進(jìn)行驗(yàn)證是非常有效可行的手段,它能夠盡早的發(fā)現(xiàn)設(shè)計(jì)中存在的各種大小bug,避免設(shè)計(jì)到了最后一步才返工
2019-04-10 06:35:34

MPC82LE52-54 8-bit micro-controller

本帖最后由 luna 于 2011-3-7 16:33 編輯 特性增強(qiáng)型80C51內(nèi)核 8KB(MPC82x52),15.5KB(MPC82x54)FLASH空間(AP/IAP/ISP共享
2011-03-07 16:29:47

Proteus AT89S52應(yīng)用實(shí)例仿真

Proteus AT89S52應(yīng)用實(shí)例仿真仿真文件+代碼
2014-01-29 22:32:01

ST-LINK仿真

ST-LINK仿真器 BURNER 5V
2023-03-28 13:06:38

STC89C52RC與那種貼片功能類似??

STC89C52RC與那種貼片功能類似??急求
2013-11-24 15:25:04

STC9C52怎樣替換

我在做一個(gè)心形流水燈的仿真可是在proteus中找不到STC9C52 用哪個(gè)可以替換呢!他是一個(gè)40個(gè)引腳的片子求大神幫忙
2014-12-05 11:48:25

USB Blaster仿真

USB Blaster仿真器 BURNER 5V
2023-03-28 13:06:20

Veloce平臺(tái)在大規(guī)模SOC仿真驗(yàn)證中的應(yīng)用

隨著現(xiàn)代集成電路技術(shù)的發(fā)展,尤其是IP的大量使用,芯片的規(guī)模越來(lái)越大,系統(tǒng)功能越來(lái)越復(fù)雜,普通的EDA和FPGA仿真在速度和性能上已經(jīng)無(wú)法勝任芯片仿真驗(yàn)證的要求,功能驗(yàn)證已經(jīng)成為大規(guī)模芯片設(shè)計(jì)的一個(gè)
2010-05-28 13:41:35

YM52系列多功能開發(fā)應(yīng)用板技術(shù)說(shuō)明文件

仿真調(diào)試器(MONI51 DEBUG)使用者可直接利用keil c51的強(qiáng)大調(diào)試功能直接進(jìn)行板級(jí)在線進(jìn)行調(diào)試。支持具有ISP功能的芯片在線編程,從而不再需要購(gòu)買價(jià)格昂貴的開發(fā)裝置。并利用該平臺(tái)的強(qiáng)大
2011-03-08 14:59:02

at89c52引腳圖及管腳功能

at89c52引腳圖及管腳功能
2012-08-15 21:25:41

multisim 可不可以做89C52 單片機(jī)的仿真?

multisim可不可以做89C52 單片機(jī)的仿真?
2013-03-22 12:50:06

proteus里沒(méi)有SJA1000和PCA82C250的電路咋辦

想做CAN總線方面的研究,但SJA1000和PCA82C250找不到怎么畫啊,求有關(guān)大神幫忙啊
2016-04-11 23:43:39

什么是Modelsim的功能仿真和時(shí)序仿真

FPGA設(shè)計(jì)流程包括設(shè)計(jì)輸入,仿真,綜合,生成,板級(jí)驗(yàn)證等很多階段。在整個(gè)設(shè)計(jì)流程中,完成設(shè)計(jì)輸入并成功進(jìn)行編譯僅能說(shuō)明設(shè)計(jì)符合一定的語(yǔ)法規(guī)范,并不能說(shuō)明設(shè)計(jì)功能的正確性,這時(shí)就需要通過(guò)仿真對(duì)設(shè)計(jì)進(jìn)行驗(yàn)證。在FPGA 設(shè)計(jì)中,仿真一般分為功能仿真(前仿真)和時(shí)序仿真(后仿真)。
2019-09-20 06:36:23

以FPGA為基礎(chǔ)的SoC驗(yàn)證平臺(tái) 自動(dòng)化電路仿真偵錯(cuò)功能

制化FPGA原型板驗(yàn)證效率的創(chuàng)新方法,自動(dòng)化現(xiàn)有的電路仿真(in-circuit emulation)偵錯(cuò)功能,并提供更高的FPGA能見(jiàn)度。這個(gè)以FPGA為基礎(chǔ)的SoC驗(yàn)證平臺(tái)對(duì)工研院而言是前景看好
2011-07-24 09:47:50

倫茨變頻器 E82EV371K2C

倫茨變頻器 E82EV371K2C倫茨變頻器 E82EV371K2C深圳市現(xiàn)代豪方儀器儀表科技有限公司吳經(jīng)理:0755-26998458/*** 工作Q:1662543848地址:深圳市南山區(qū)科技園
2019-03-18 16:59:23

關(guān)于功能驗(yàn)證、時(shí)序驗(yàn)證、形式驗(yàn)證、時(shí)序建模的論文

的新方法,提高了驗(yàn)證效率。論文還研究了運(yùn)用形式驗(yàn)證的方法對(duì)RTL級(jí)和RTL級(jí)以及RTL級(jí)和門級(jí)網(wǎng)表進(jìn)行等價(jià)性驗(yàn)證。為了進(jìn)一步保證RTL級(jí)設(shè)計(jì)和對(duì)應(yīng)的全定制設(shè)計(jì)模塊之間功能的等價(jià)性,設(shè)計(jì)了一個(gè)能同時(shí)考察兩種
2011-12-07 17:40:14

關(guān)于STC89C52與AT89S52的問(wèn)題

本帖最后由 eehome 于 2013-1-5 10:06 編輯 在做電子設(shè)計(jì)一開始的時(shí)候,使用的是AT89S52的芯片。成品做出來(lái)了 實(shí)物能實(shí)現(xiàn)設(shè)計(jì)的所有功能了 后來(lái)不小心把芯片燒壞
2012-12-23 22:27:26

單片機(jī)特點(diǎn)介紹W78LE52C芯片解密研究

  W78LE52C芯片解密是華鑫科技成功破解的典型疑難型IC芯片型號(hào),這里,為方便客戶對(duì)芯片解密進(jìn)行技術(shù)理解與解密技術(shù)實(shí)現(xiàn)的分析,方便工程師更好的對(duì)解密程序以及芯片本身的特性及應(yīng)用進(jìn)行了解,我們
2012-12-21 15:56:02

基于FPGA的ps/2鍵盤驅(qū)動(dòng)芯片如何驗(yàn)證功能

在網(wǎng)上找了一個(gè)ps/2鍵盤的實(shí)例,綜合沒(méi)問(wèn)題,但是不知道怎么驗(yàn)證功能。用modelsim做仿真的時(shí)候鍵盤輸入時(shí)鐘不知道該設(shè)置為多少,如果不做時(shí)序仿真的話還有其他驗(yàn)證方法嗎,求大神解惑
2018-04-21 10:36:37

基于RTX51的多功能電子鐘

基于51單片機(jī)的實(shí)時(shí)操作系統(tǒng)RTX51編寫的多功能電子鐘,有電子鐘、時(shí)間可調(diào)、溫度測(cè)量、串口通信、方波發(fā)生器(頻率可調(diào))等功能。內(nèi)含完整Keil工程代碼和proteus仿真文件。實(shí)物本人采用STC89C52RC驗(yàn)證過(guò)備注:網(wǎng)上的電子鐘程序很多,但采用RTX51編寫的,本人還沒(méi)見(jiàn)過(guò),故發(fā)一個(gè)。
2012-07-25 12:47:27

基于matlab的三相橋式全控整流電路的仿真研究

基于matlab的三相橋式全控整流電路的仿真研究 用simulink 對(duì)三相橋式全控整流電路進(jìn)行仿真研究 姓名:劉佰蘭 學(xué)校:中山大學(xué) 學(xué)號(hào):09382014 專業(yè):自動(dòng)化 摘要:三相橋式全控整流
2021-07-06 07:15:37

如何去實(shí)現(xiàn)一種基于89C52單片機(jī)的按鍵計(jì)數(shù)仿真設(shè)計(jì)?

怎樣去設(shè)計(jì)基于89C52單片機(jī)的按鍵計(jì)數(shù)仿真硬件電路?怎樣去實(shí)現(xiàn)基于89C52單片機(jī)的按鍵計(jì)數(shù)仿真軟件代碼?
2021-07-19 07:32:35

如何去實(shí)現(xiàn)一種基于AT89C51/52單片機(jī)的步進(jìn)電機(jī)設(shè)計(jì)

單片機(jī)51—步進(jìn)電機(jī)的設(shè)計(jì)1.主要功能本設(shè)計(jì)可采用STC89C51/52、AT89S51/52或AT89C51/52單片機(jī)作為主控制器;(1)按鍵功能:?按鍵1:正轉(zhuǎn)?按鍵2:反轉(zhuǎn)?按鍵3:速度加
2021-12-08 06:19:12

如何將STC89C52的芯片作為在線仿真器使用呢

常用的延時(shí)方法有哪幾種?如何將STC89C52的芯片作為在線仿真器使用呢?
2021-11-10 06:12:28

德國(guó)LENZE E82EV251K2C

德國(guó)LENZE E82EV251K2C 德國(guó)LENZE E82EV251K2C 深圳現(xiàn)代豪方儀器儀表科技有限公司吳經(jīng)理:0755-26998458/ 132,4667,5433工作Q
2019-03-18 16:54:21

怎樣去設(shè)計(jì)基于STC89C52RC單片機(jī)的多功能智能小車

基于STC89C52RC單片機(jī)的多功能智能小車是由哪些部分組成的?怎樣去設(shè)計(jì)基于STC89C52RC單片機(jī)的多功能智能小車?
2021-10-14 15:00:59

有誰(shuí)研究過(guò)STC89C52RC?

本帖最后由 無(wú)線電工作室 于 2012-2-12 12:25 編輯 有誰(shuí)研究過(guò)STC89C52RC?在燒寫程序時(shí)有6T和12T兩種速度可以選擇,6T比12T快1倍,但同樣的程序(流水燈程序)分不同的速度燒入后,運(yùn)行起來(lái)流水的速度卻是一樣的?為什么?我說(shuō)的那個(gè)6T和12T是單片機(jī)跑的模式
2012-02-11 14:51:43

求各位大神有沒(méi)有at89c52溫度系統(tǒng)設(shè)計(jì)的仿真及程序

求各位大神有沒(méi)有at89c52溫度系統(tǒng)設(shè)計(jì)的仿真及程序,,,,多謝各位大神了!
2013-04-23 19:26:45

求基于AT89C52的protues的仿真文件,需要各個(gè)l模塊的,謝啦

求基于AT89C52的protues的仿真文件,需要各個(gè)l模塊的,led燈,繼電器,數(shù)碼管 ,鍵盤矩陣等等 很多的齊全的模塊,謝謝啦
2012-10-14 21:02:41

求基于單片機(jī)AT89C52的實(shí)時(shí)日歷系統(tǒng)的仿真?

基于單片機(jī)AT89C52的實(shí)時(shí)日歷系統(tǒng)的仿真,謝謝
2017-05-06 11:47:07

統(tǒng)一的電路仿真驗(yàn)證平臺(tái)的設(shè)計(jì)

驗(yàn)證統(tǒng)一在一起,集成了測(cè)試數(shù)據(jù)管理、波形編輯、波形查看、特征提取等功能,能夠很方便地嵌入Cadence、Mentor Graphics、Synopsys等公司的集成環(huán)境、仿真工具。該平臺(tái)的安裝非常簡(jiǎn)單
2012-04-27 14:33:36

郭天祥TX-1C單片機(jī)STC89C52仿真

分享一下郭天祥老師的仿真板,對(duì)于沒(méi)有實(shí)體硬件學(xué)習(xí)的朋友是一個(gè)不錯(cuò)的選擇郭天祥TX-1C單片機(jī)STC89C52仿真版.rar
2018-07-19 04:23:37

高速DAP仿真

高速DAP仿真器 BURNER
2023-03-28 13:06:20

82C52 pdf datasheet (CMOS Seri

The Intersil 82C52 is a high performance programmableUniversal Asynchronous Receiver/Transmitter
2009-01-08 18:33:2226

切削加工過(guò)程的仿真方法研究與實(shí)現(xiàn)

為提供虛擬的加工環(huán)境和驗(yàn)證工藝設(shè)計(jì)的正確性,對(duì)切削加工過(guò)程的計(jì)算機(jī)仿真方法進(jìn)行了研究,以O(shè)penGL作為圖形支持系統(tǒng),用VC++開發(fā)了切削加工仿真系統(tǒng)。該系統(tǒng)實(shí)現(xiàn)了車、銑
2009-05-11 20:34:1027

一種數(shù)?;旌蟂oC 設(shè)計(jì)協(xié)同仿真驗(yàn)證方法

數(shù)模混合信號(hào)仿真已經(jīng)成為SoC芯片驗(yàn)證的重要環(huán)節(jié)。文章以一款固網(wǎng)短信電話專用SoC芯片為例,介紹一種使用Synopsys公司的NanoSim-VCS協(xié)同仿真環(huán)境進(jìn)行仿真驗(yàn)證方法,并給出驗(yàn)證結(jié)
2009-05-15 15:41:2619

一種數(shù)?;旌蟂oC 設(shè)計(jì)協(xié)同仿真驗(yàn)證方法

數(shù)?;旌闲盘?hào)仿真已經(jīng)成為SoC芯片驗(yàn)證的重要環(huán)節(jié)。文章以一款固網(wǎng)短信電話專用SoC芯片為例,介紹一種使用Synopsys公司的NanoSim-VCS協(xié)同仿真環(huán)境進(jìn)行仿真驗(yàn)證方法,并給出驗(yàn)證結(jié)
2009-05-15 15:41:265

82BP52LF 新塘單片機(jī)

深圳市港禾科技有限公司所上傳的產(chǎn)品圖片均為實(shí)物拍攝。82BP52LF   由于電子元器件價(jià)格因市場(chǎng)浮動(dòng),網(wǎng)站展示價(jià)格僅為參考價(jià),不能作為最后成交價(jià)格,具體價(jià)格請(qǐng)與業(yè)務(wù)重新確認(rèn)
2022-11-24 14:14:29

40Gbs交換IP軟核驗(yàn)證和測(cè)試

研究40Gb/s 交換IP 軟核的驗(yàn)證和測(cè)試方法。通過(guò)建立SDH 芯片驗(yàn)證平臺(tái)和SDH 芯片測(cè)試平臺(tái), 實(shí)現(xiàn)IP 軟核的功能仿真、時(shí)序仿真和芯片性能測(cè)試。使得IP 軟核質(zhì)量?jī)?yōu)良、性能穩(wěn)定, 適應(yīng)性
2009-11-27 14:30:166

手機(jī)STK功能仿真器的研究與實(shí)現(xiàn)

以AVR 單片機(jī)和C++ builder 上位機(jī)軟件為開發(fā)平臺(tái),構(gòu)建了STK 功能仿真器。在研究STK 技術(shù)原理的基礎(chǔ)上,給出了仿真器硬件、軟件的實(shí)現(xiàn)方法和思路,為STK 應(yīng)用開發(fā)人員提供有用參
2009-12-14 14:30:2623

通用微處理器功能驗(yàn)證中的仿真加速

摘要:在使用傳統(tǒng)的動(dòng)態(tài)仿真方法對(duì)通用微處理器這樣大規(guī)模的設(shè)計(jì)進(jìn)行功能驗(yàn)證時(shí)仿真速度成為了瓶頸,而使用FPGA物理原型驗(yàn)證又不能提供很好的可調(diào)試性。本文主要介紹了基
2010-06-07 10:55:2924

使用協(xié)同仿真實(shí)現(xiàn)指紋識(shí)別RTL設(shè)計(jì)的功能驗(yàn)證

摘要:在ASIC設(shè)計(jì)中首先重要的就是要對(duì)系統(tǒng)進(jìn)行邏輯功能驗(yàn)證,確保系統(tǒng)功能的正確。本文介紹了一個(gè)基于MentorGraphics公司仿真工具M(jìn)odelsim和Mathworks公司的Matlab構(gòu)建的平臺(tái)對(duì)指紋識(shí)別
2010-06-08 08:43:1528

IP驗(yàn)證增量仿真技術(shù)的運(yùn)用

IP驗(yàn)證增量仿真技術(shù)的運(yùn)用 驗(yàn)證涉及每個(gè)階段的迭代循環(huán):仿真、檢查結(jié)果,改變激勵(lì)或設(shè)計(jì)或調(diào)試設(shè)置,重新仿真并不斷重復(fù)。在系統(tǒng)調(diào)
2009-01-27 17:58:24834

82C37/82C37A的內(nèi)部結(jié)構(gòu)圖和引腳功能管腳定義說(shuō)明

82C37/82C37A的內(nèi)部結(jié)構(gòu)和引腳功能說(shuō)明 1. 82C37A的特點(diǎn)① 具有4個(gè)獨(dú)立的DMA通道,每個(gè)通道都可獨(dú)立地進(jìn)行初始化。② 每個(gè)通
2009-03-25 13:31:563384

力科推出仿真設(shè)計(jì)驗(yàn)證工具

力科推出仿真設(shè)計(jì)驗(yàn)證工具 力科今天宣布推出新的分析工具顯著擴(kuò)展PCI-Express 3.0協(xié)議測(cè)試。新的軟件工具叫SimPASS,針對(duì)硅前期的仿真和設(shè)計(jì)驗(yàn)證開發(fā)階段。SimPASS基于
2010-02-03 16:31:20722

EDA仿真功能及應(yīng)用介紹

1、 仿真的目的:在軟件環(huán)境下,驗(yàn)證電路的行為和設(shè)想中的是否一致。 2、 仿真的分類:a) 功能仿真:在RTL層進(jìn)行的仿真,其特點(diǎn)是不考慮構(gòu)成
2010-06-07 08:32:203655

通用處理器設(shè)計(jì)中硬件仿真驗(yàn)證

基于動(dòng)態(tài)的RTL仿真依然是驗(yàn)證超大規(guī)模集成電路的主要方法 在使用動(dòng)態(tài)仿真方法對(duì)通用微處理器這樣大規(guī)模的設(shè)計(jì)進(jìn)行功能驗(yàn)證時(shí)仿真速度成為了瓶頸#通常的解決方案是使用:.? 進(jìn)行硬
2011-06-28 17:09:1340

Proteus AT89S52應(yīng)用實(shí)例仿真

Proteus AT89S52應(yīng)用實(shí)例仿真 有需要的看一看,不收積分。
2015-11-20 16:51:09202

分布式C4ISR系統(tǒng)通信報(bào)文傳輸標(biāo)準(zhǔn)仿真驗(yàn)證系統(tǒng)設(shè)計(jì)

通過(guò)對(duì)分布式C4ISR系統(tǒng)通信報(bào)文傳輸標(biāo)準(zhǔn)仿真驗(yàn)證系統(tǒng)的功能、組成、仿真模型與仿真系統(tǒng)流程的研究,提出了分布式C4ISR系統(tǒng)通信報(bào)文傳輸標(biāo)準(zhǔn)仿真驗(yàn)證系統(tǒng)的設(shè)計(jì)方案。系統(tǒng)可用于對(duì)新提出的C4ISR系統(tǒng)通信報(bào)文傳輸標(biāo)準(zhǔn)進(jìn)行正確性驗(yàn)證與可行性分析,同時(shí)可為新標(biāo)準(zhǔn)實(shí)施后的實(shí)裝貫標(biāo)改造工作提供技術(shù)支撐。
2016-01-04 09:39:067

Insight SE-52HU/SE-52HP仿真器規(guī)格參數(shù)

Insight SE-52HU/SE-52HP仿真器規(guī)格參數(shù),有需要的下來(lái)看看
2016-08-24 16:30:340

基于UVM的驗(yàn)證平臺(tái)設(shè)計(jì)研究

基于UVM的驗(yàn)證平臺(tái)設(shè)計(jì)研究_王國(guó)軍
2017-01-07 19:00:394

基于軟件和邏輯聯(lián)合仿真的SOPC驗(yàn)證技術(shù)研究

基于軟件和邏輯聯(lián)合仿真的SOPC驗(yàn)證技術(shù)研究_周珊
2017-01-07 19:00:399

航電仿真系統(tǒng)集成驗(yàn)證平臺(tái)設(shè)計(jì)方法的研究_周德新

航電仿真系統(tǒng)集成驗(yàn)證平臺(tái)設(shè)計(jì)方法的研究_周德新
2017-01-18 20:24:570

cmos串行控制器接口82C52

The Intersil 82C52 is a high performance programmable Universal Asynchronous Receiver/Transmitter
2017-09-15 14:47:004

利用單片機(jī)對(duì)外部串口電路控制的仿真驗(yàn)證研究設(shè)計(jì)

到這里,82C52編程前的設(shè)置工作就完成了,并且這些設(shè)置都是通過(guò)匯編指令完成的。與接收和發(fā)送有關(guān)的寄存器有RBR和TBR,它們從DO 位到D7位依次為bitO到bit7,數(shù)據(jù)位數(shù)少于8時(shí),RBR中有效數(shù)據(jù)外的其它高位被置成0,TBR中則被忽略。
2018-08-01 07:37:00534

列車TCMS一體化軟件開發(fā)及驗(yàn)證平臺(tái)研究

文中研究了列車TCMS -體化軟件開發(fā)及驗(yàn)證平臺(tái)。首先研究了TCMS -體化軟件開發(fā)及驗(yàn)證平臺(tái)實(shí)現(xiàn)策略,選取一款仿真軟件作為基礎(chǔ)軟件進(jìn)行研究;然后在此軟件基礎(chǔ)上研究并實(shí)現(xiàn)了其作為TCMS軟件開發(fā)平臺(tái)
2018-01-10 16:01:503

基于System Verilog的可重用驗(yàn)證平臺(tái)設(shè)計(jì)及驗(yàn)證結(jié)果分析

采用System Verilog語(yǔ)言設(shè)計(jì)了一種具有層次化結(jié)構(gòu)的可重用驗(yàn)證平臺(tái),該平臺(tái)能夠產(chǎn)生各種隨機(jī)、定向、錯(cuò)誤測(cè)試向量,并提供功能覆蓋率計(jì)算。將驗(yàn)證平臺(tái)在Synopsys公司的VCS仿真工具上運(yùn)行
2018-01-12 11:28:242379

Veloce仿真環(huán)境下的SoC端到端硬件加速器功能驗(yàn)證

很多人認(rèn)為硬件加速器無(wú)非是一種速度更快的仿真器而已。毫無(wú)疑問(wèn),由于硬件加速器使用物理硬件進(jìn)行仿真,使用硬件加速器驗(yàn)證復(fù)雜的集成電路和大型片上系統(tǒng)(SoC)能比軟件仿真器快若干數(shù)量級(jí)。與仿真用通用計(jì)算機(jī)相比,仿真用單一功能計(jì)算機(jī)能提供更高容量、更高效的系統(tǒng)。
2018-03-28 14:50:003159

基于52單片機(jī)的電池管理系統(tǒng)(BMS)仿真設(shè)計(jì)(包含仿真和源程序)

基于52單片機(jī)的電池管理系統(tǒng)(BMS)仿真設(shè)計(jì)(包含仿真和源程序)(電動(dòng)機(jī)的單片機(jī)控制)-基于52單片機(jī)的電池管理系統(tǒng)(BMS)仿真設(shè)計(jì)(包含仿真和源程序)測(cè)量電池實(shí)時(shí)電壓與溫度,測(cè)量電池剩余容量,并通過(guò)LCD1602顯示。原理圖:
2021-07-22 11:32:2082

作業(yè)一、STM32的GPIO綜合設(shè)計(jì)及PROTEUS仿真驗(yàn)證

作業(yè)一、STM32的GPIO綜合設(shè)計(jì)及PROTEUS仿真驗(yàn)證
2021-11-21 18:06:0429

SK部署Cadence仿真器進(jìn)行FastSPICE功能驗(yàn)證

楷登電子(美國(guó) Cadence 公司,NASDAQ:CDNS)今日宣布,SK hynix Inc. 已部署 Cadence? Spectre? FX Simulator 仿真器,用于對(duì)其面向 PC 和移動(dòng)應(yīng)用的 DDR4 和 DDR5 DRAM 進(jìn)行基于 FastSPICE 的功能驗(yàn)證
2022-04-08 14:49:001565

貼片工字電感SP52-820K-CODACA科達(dá)嘉

貼片工字電感SP52-820K,電感值82μH
2022-05-28 16:55:560

故障仿真功能驗(yàn)證如何協(xié)同工作

。 那么開發(fā)者在做故障仿真時(shí)都會(huì)面臨哪些挑戰(zhàn)?為什么故障仿真要考慮功能安全?為什么說(shuō)統(tǒng)一的驗(yàn)證方法是一大進(jìn)步?在今天的文章中,我們會(huì)對(duì)這幾個(gè)問(wèn)題進(jìn)行討論。
2022-06-22 11:06:371114

利用硬件輔助工具加速芯片前端設(shè)計(jì)的功能驗(yàn)證階段

軟件仿真(Simulation),F(xiàn)PGA原型驗(yàn)證(FPGA Based Prototyping)和硬件仿真加速(Emulation)這三種有效的功能驗(yàn)證的方法,在驗(yàn)證流程中發(fā)揮著非常重要的作用。
2022-10-10 16:06:531189

軟件仿真、硬件仿真、原型驗(yàn)證是如何工作的?

面對(duì)復(fù)雜的設(shè)計(jì)代碼,我們?nèi)绾未_保其準(zhǔn)確性?功能驗(yàn)證就是這場(chǎng)戰(zhàn)斗的關(guān)鍵過(guò)程。工程師們通常使用的驗(yàn)證方法包括軟件仿真、硬件仿真和原型驗(yàn)證等。這些不同的驗(yàn)證方法都有各自的優(yōu)點(diǎn),也有各自的不足。
2023-06-11 14:24:53490

測(cè)試與驗(yàn)證復(fù)雜的FPGA設(shè)計(jì)(2)——如何在虹科的IP核中執(zhí)行面向全局的仿真

仿真驗(yàn)證是開發(fā)任何高質(zhì)量的基于FPGA的RTL編碼過(guò)程的基礎(chǔ)。在上一篇文章中,我們介紹了面向?qū)嶓w/塊的仿真,即通過(guò)在每個(gè)輸入信號(hào)上生成激勵(lì)并驗(yàn)證RTL代碼行為是否符合預(yù)期,對(duì)構(gòu)成每個(gè)IP
2022-06-15 17:31:20389

一文淺談SoC功能驗(yàn)證中的軟件仿真

的設(shè)計(jì)開發(fā)流程中,軟件仿真是其中重要的一個(gè)部分。這種基于軟件的邏輯仿真可以說(shuō)在整個(gè)功能驗(yàn)證中都需要用到。什么是軟件仿真?軟件仿真,簡(jiǎn)單說(shuō)來(lái),就是用軟件和編程語(yǔ)言將所有的硬
2023-01-12 17:11:15492

基于OmniArk芯神鼎硬件仿真系統(tǒng)和QEMU的混合驗(yàn)證平臺(tái)

軟件仿真(Simulation),原型驗(yàn)證(Prototyping),以及硬件仿真 (Emulation),是當(dāng)前主要的三種有效的驗(yàn)證方法,在芯片前端設(shè)計(jì)的功能驗(yàn)證階段起到了關(guān)鍵的作用。
2023-07-27 09:57:57348

芯片設(shè)計(jì)中邏輯仿真和數(shù)字驗(yàn)證介紹

芯片設(shè)計(jì)的邏輯仿真和數(shù)字驗(yàn)證是芯片設(shè)計(jì)流程中非常重要的一環(huán),它主要用于驗(yàn)證芯片的功能和時(shí)序等方面的正確性。下面是邏輯仿真和數(shù)字驗(yàn)證的一般流程: 設(shè)計(jì)規(guī)格和功能驗(yàn)證:在開始邏輯仿真之前,首先需要明確
2023-09-14 17:11:23719

時(shí)序仿真功能仿真的區(qū)別有哪些?

時(shí)序仿真功能仿真的區(qū)別有哪些? 時(shí)序仿真功能仿真都是電子設(shè)計(jì)自動(dòng)化(EDA)過(guò)程中的常見(jiàn)任務(wù),它們都是為了驗(yàn)證驗(yàn)證電路設(shè)計(jì)的正確性。然而,它們之間也有明顯的區(qū)別。 時(shí)序仿真 時(shí)序仿真是一種
2023-09-17 14:15:022254

如何使用Verilog語(yǔ)言進(jìn)行仿真驗(yàn)證

仿真驗(yàn)證主要作用是搭建一個(gè)測(cè)試平臺(tái),測(cè)試和驗(yàn)證程序設(shè)計(jì)的正確性,驗(yàn)證設(shè)計(jì)是否實(shí)現(xiàn)了我們所預(yù)期的功能。其結(jié)構(gòu)如下圖所示。
2023-10-02 16:29:00660

基于瑞薩R7FA2E1A52DFL MCU的觸控系統(tǒng)設(shè)計(jì)與J-link仿真

基于瑞薩R7FA2E1A52DFL MCU的觸控系統(tǒng)設(shè)計(jì)與J-link仿真
2023-09-26 17:18:29719

數(shù)字電路設(shè)計(jì)有哪些仿真驗(yàn)證流程

設(shè)計(jì)的要求運(yùn)行。 本文將詳細(xì)介紹數(shù)字電路設(shè)計(jì)的仿真驗(yàn)證流程,以及每個(gè)步驟的重要性和方法。 仿真驗(yàn)證的目標(biāo): 在設(shè)計(jì)階段,仿真驗(yàn)證的目標(biāo)是驗(yàn)證電路的功能正確性、時(shí)序正確性和性能指標(biāo)是否滿足設(shè)計(jì)要求。通過(guò)仿真驗(yàn)證
2024-01-02 17:00:43256

fpga時(shí)序仿真功能仿真的區(qū)別

FPGA時(shí)序仿真功能仿真在芯片設(shè)計(jì)和驗(yàn)證過(guò)程中各自扮演著不可或缺的角色,它們之間存在明顯的區(qū)別。
2024-03-15 15:28:40132

已全部加載完成