電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>控制/MCU>輸入配置 - 數(shù)字輸入串行器原理及輸入信號配置

輸入配置 - 數(shù)字輸入串行器原理及輸入信號配置

針對某種應(yīng)用對數(shù)字輸入串行器進行配置時,只有兩個重要的參數(shù),即輸入電流限制 IIN-LIM和導(dǎo)通閾?VIN-ON。這兩個參均通過外部電阻器 RLIM??以及 RIN0?到 ?RIN7來進行調(diào)節(jié)。盡管 RLIM定義所有八條輸入通道的電流限制,但也可以通過使用不同的 RIN值,來單獨設(shè)定每條通道的導(dǎo)通閾值。
?
電流限制器內(nèi)部實現(xiàn)比較器功能,其閾值電流 ITH與最大輸入電流 IIN-LIM完全相同。利用一個反射系數(shù)為 n = 72 的電流鏡,通過基準(zhǔn)電流 IREF推導(dǎo)出 ITH。由于 IIN-LIM與 ITH相同,因此最大輸入電流可以表示為:
?
??????????????????????????????????????? 方程式1
?
IREF反過來又由內(nèi)部 1.25V 帶隙基準(zhǔn)與外部電阻器 RLIM的比計算得到:
?
.???????????????????????????? ???????方程式2
?
方程式2?插入到方程式 1 中,得到 IIN-LIM為 RLIM的函數(shù):
?
.??????????????????方程式3
?
求解方程式3?得到 RLIM,即設(shè)置理想電流限制所需的電阻器值:
?
.???????????????????????????????????????????方程式4
?
現(xiàn)場輸入導(dǎo)通閾值電壓 VIN-ON,與電流限制、輸入電阻器以及器件輸入的導(dǎo)通閾值電壓 VIP-ON有關(guān)。VIP-ON等于內(nèi)部電壓檢測比較器的固定 5.2V 基準(zhǔn)電壓。因此,VIP-ON可以表示為:
?
??????????????????????????方程式5
?
插入 VIP-ON的數(shù)值,然后代入方程式3?的 IIN-LIM計算結(jié)果,得到:
?
????????????????????????????????方程式6
?
然后求解 RIN,得到設(shè)置規(guī)定電流限制條件下理想導(dǎo)通閾值所要求的輸入電阻器值:
?
?????????????????????????????方程式7
?
因此,針對各種應(yīng)用對 DIS 進行完全配置只需要兩個方程式,即方程式3?用于設(shè)置電流限制,而方程式7用于達到理想導(dǎo)通閾值電壓。根據(jù)這兩個方程式,1?列出了不同輸入閾值電壓和電流限制的各種電阻器組合情況。
?
1各種輸入配置
?
VIN?[V]
VIN-ON?[V]
IIN-LIM[mA]
RIN?[kΩ]
RLIM?[kΩ]
12
5.2
2
0
44.8
34
5.2
2
0
44.8
34
10
2
2.4
44.8
48
24
2
9.4
44.8
100*
50
0.5
89.6
180
350*
150
0.5
290
180
*?要求齊納鉗位
?
表 1 中的星號表示非常高的輸入電壓會超出最大器件電壓 34V。這種情況下,IPx 和接地之間連接的 30V 齊納二極管可防止器件輸入毀壞。將開關(guān)閾值設(shè)定在輸入電壓范圍的中間,即 VIN-ON?= VIN-max/2,這時最大齊納電流將等于輸入電流限制,即 IZ-max?= IIN-LIM,同時總輸入電流將為電流限制的兩倍。
?
若想節(jié)能,需將電流限制設(shè)定為 0.5mA。很明顯,在這種低輸入電流情況下,將指示器 LED 連接至 Rex 輸出沒有意義,因為其不會亮起。相反,我們應(yīng)該將它們放置在 CMOS 輸出可以很容易地實現(xiàn) LED 驅(qū)動功能的控制器端。
?
串行接口
1?表明對于高達 24V 標(biāo)稱值、或者 34V 最大值的總線電源來說,數(shù)字輸入串行器可以將總線電壓調(diào)低到 5V,以為數(shù)字隔離器或者微控制器提供充足的電源。但是,在高壓條件下,在DIS之前調(diào)低總線電源電壓,會極大地降低總功效。在非隔離應(yīng)用中,使用一個微型充電泵,并通過控制器電源為 DIS 提供備用電源,這樣做更利于提高能效。但是,在隔離式應(yīng)用中,要求一個隔離式 DC-DC 轉(zhuǎn)換器來穿過隔離層提供控制器電源。
?
實施電氣隔離的原因是,數(shù)字輸入串行器一般用于檢測遠(yuǎn)距離安裝傳感器和信號源的輸出電壓,例如:AC 整流器的輸出,其接地電位明顯不同于本地控制器接地。將各種接地電位相互連接會引起大量接地環(huán)路電流流動。使用數(shù)字隔離器可以防止出現(xiàn)這種情況。
?
如前所述,DIS 數(shù)字接口的控制很容易實施。系統(tǒng)控制器只需通過其通用輸出端之一,向 DIS 的/LD 輸入端發(fā)送一個短且低活躍度的負(fù)載脈沖,旨在將當(dāng)前的現(xiàn)場輸入狀態(tài)鎖存至 DIS 移位寄存器中。之后,它向 CLK 線路施加一個時鐘信號,以串行方式移出寄存器內(nèi)容。
?
2?所示,DIS 的移位寄存器結(jié)構(gòu)通過簡單地將前面器件的串行輸出 SOP 連接至后面器件的串行輸入 SIP,實現(xiàn)以菊花鏈方式連接多個器件。這種方法允許進行高通道數(shù)目的緊湊型數(shù)字輸入模塊設(shè)計,同時其僅使用了一個串行接口。
?
一次讀取多個 DIS 器件的內(nèi)容時,較短的讀取周期時間便為基本要求,而標(biāo)準(zhǔn)微控制器 SPI 接口的最大速度已經(jīng)可以達到 10 MHz 或者 20 Mbps。但是,DIS 的串行接口可以支持高達 300 Mbps的數(shù)據(jù)速率,其甚至超出了一些高速隔離器的數(shù)據(jù)速率。因此,若想將讀取周期時間縮短至絕對最小值,便要求極高的時鐘頻率,同時還必須消除隔離器的傳播延遲。
?
正因如此,微控制器常常被現(xiàn)場可編程門陣列 (FPGA) 所取代,因為它不僅僅具有高時鐘頻率,而且還允許實現(xiàn)接收時鐘輸入(如圖 2 藍色線條所示)。然后,由 FPGA 發(fā)送的相同時鐘信號,經(jīng)過隔離器延遲,開始將寄存器內(nèi)容移出 DIS,同時與 SOP 信號一起通過另一個隔離器通道獲得反饋,從而保持接收時鐘和數(shù)據(jù)之間的相位關(guān)系。
?
?
2隔離32-通道數(shù)字輸入模塊
結(jié)論
數(shù)字輸入串行器是低功耗控制器與高 DC 電壓接口連接的最通用解決方案。SN65HVS88x?系列數(shù)字輸入串行器支持低壓控制器和高壓應(yīng)用之間的接口設(shè)計,擁有各種各樣的特性,例如:欠壓檢測、電流限制、去抖動濾波、散熱保護、奇偶發(fā)生以及單 5V 電源等。
上一頁12全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

天線輸入信號放大電路

天線輸入信號放大電路
2009-09-26 18:40:312761

模擬輸入信號的保護電路

本文介紹了四種模擬輸入信號的保護電路的實現(xiàn)方法。
2022-09-07 10:38:541423

STM32F407 GPIO口輸入配置配置步驟

介紹STM32F407新建寄存器工程流程,介紹輸入模式的配置方式,以按鍵為例完成按鍵輸入檢測。
2023-07-06 14:28:561170

CC2530 GPIO口輸入配置配置步驟

介紹CC2530 GPIO口輸入配置配置。
2023-07-06 14:40:091269

串行輸入串行輸出的移位寄存

串行輸入,串行輸出移位寄存每級將數(shù)據(jù)延遲一個時鐘時間。它們將為每個寄存存儲一點數(shù)據(jù)。串行輸入串行輸出移位寄存的長度可能為一到64位,如果級聯(lián)寄存或封裝,則長度會更長。下面是一個單級
2020-10-08 08:39:07

數(shù)字輸入串行的工作原理及信號配置情況

輸入電壓進行檢測,檢測范圍最低可達 6Vdc,最高可達300 Vdc。本文將介紹數(shù)字輸入串行的工作原理及其低、中、高壓輸入信號配置情況。工作原理為了更好地理解 DIS 的工作原理,我們以完整的接口
2019-06-05 05:00:11

數(shù)字音頻輸入接口電路

 數(shù)字音頻輸入接口電路 AES/EBU解碼和解復(fù)用器AES/EBU解碼將雙相標(biāo)志碼串行AES/EBU數(shù)字音頻信號轉(zhuǎn)換為信號數(shù)據(jù)流,再將兩聲道的音頻數(shù)據(jù)信號分開,產(chǎn)生兩路并行比特
2009-08-01 08:21:19

輸入數(shù)字QPSK信號,輸出為模擬差分QPSK信號,選擇哪款DAC?

輸入數(shù)字QPSK信號(I和Q兩路數(shù)字信號,或者,IP IN QP QN四路數(shù)字信號),輸出為模擬差分QPSK信號(IP IN QP QN四路模擬信號),該選擇哪款DAC芯片?如果沒有單一芯片可以滿足要求,應(yīng)該用什么方案?
2023-12-12 07:14:59

AD7124-4的6個模擬輸入通道配置為3對差分輸入配置好寄存后,其中一對差分輸入通道上沒有正常采集到信號的原因?

問題:AD7124-4的6個模擬輸入通道配置為3對差分輸入,配置好寄存后,其中一對差分輸入通道上沒有正常采集到信號,并且在這兩個引腳上測量到了不斷變化的電壓。 請問這是原因?qū)е碌???yīng)該怎樣解決?
2023-12-04 07:34:36

AD7634的輸入信號被干擾怎么解決?

中發(fā)現(xiàn)在采樣過程中,輸入信號會隨著忙忙信號的上升下降沿有大的抖動,如附件圖片所示。我的配置為每秒采樣啟動,每隔2.5 半 中采樣一個點,采樣2000年0個點后停止采樣,等待下一秒啟動。
2023-12-05 06:05:43

ADC配置怎么讀取模擬輸入

親愛的,我正在使用MPLABx的最后版本和代碼配置的Windows。對于PIC16F1527,我已經(jīng)配置了PIC來讀取模擬輸入。如果施加電壓,則切換數(shù)字引腳。請看代碼。目前我沒有USB到串行閱讀
2019-04-17 11:14:10

DM368視頻前端支持的輸入數(shù)字信號格式有哪幾種?

本文詳細(xì)介紹了DM368 視頻前端支持的輸入數(shù)字信號格式。并以MT9D131 和PC VGA 信號為例,將兩者的時序和 DM368 視頻前端配置參數(shù)一一匹配。同時介紹了如何合理配置和使用 VD 中斷
2021-06-01 06:55:01

Labview 2013差分信號輸入配置函數(shù) 研華Labview驅(qū)動函數(shù)差分信號輸入配置

各位大俠同學(xué),本人剛接觸Labview編程,已了解單端輸入信號的驅(qū)動函數(shù)配置。但是差分輸入信號不知道在程序中如何配置,比如我使用的是labview 2013和NI PCI-6236數(shù)據(jù)采集卡,如何在
2015-07-02 08:51:37

創(chuàng)龍教儀DSP實驗箱《數(shù)字信號處理》操作教程:4-13 多路信號混頻實驗(外部輸入信號

信號。在本實驗中采用的是外部信號輸入,AD采集一路實驗板波形發(fā)生輸出的信號和一路自定義信號混頻,自定義一路輸入信號為: f1(t) = 4sin (20πt ? π/3)。 (2)混頻信號的頻譜
2023-06-16 13:46:13

如何對GPIO的下拉輸入和上拉輸入進行配置

如何對GPIO輸出相關(guān)的寄存ODR進行配置呢?如何對GPIO的下拉輸入和上拉輸入進行配置呢?
2021-10-25 06:49:28

對基帶信號進行數(shù)字化處理時為什么需使用輸入濾波?

對基帶信號進行數(shù)字化處理時為什么需使用輸入濾波
2023-12-21 06:55:35

對基帶信號進行數(shù)字化處理時為什么需使用輸入濾波?

來至網(wǎng)友的提問:對基帶信號進行數(shù)字化處理時為什么需使用輸入濾波
2018-12-12 09:07:39

無法將PortB配置數(shù)字輸入

大家好,我正在嘗試將PortB配置數(shù)字輸入,并且嘗試讀取IDR寄存,它似乎正在讀取PB0引腳的模擬值。 我試圖將PortB配置為PullUP無中斷或浮動,但結(jié)果相同。 我將PortB配置為輸出
2019-02-14 16:49:47

日本精工2線串行輸入輸出的數(shù)字溫度傳感

  日本精工技術(shù)有限公司日前推出S-5851A系列是2線串行輸入輸出的數(shù)字溫度傳感。本系列數(shù)字溫度傳感可不需外接部件,利用0.0625℃的分解能來測量溫度。  S-5851A系列可適用于多種多樣
2018-11-15 16:11:14

有償求數(shù)字輸入調(diào)理模塊

本帖最后由 tttotti 于 2017-6-5 10:59 編輯 現(xiàn)在需要做一個數(shù)字輸入信號的調(diào)理模塊,調(diào)理模塊通過線纜連接到數(shù)字輸入板卡。數(shù)字輸入板卡的基本特性:通道數(shù):24*3(3
2017-06-05 10:54:47

用于可編程邏輯控制 (PLC) 的 8 通道數(shù)字輸入模塊

了客戶的產(chǎn)品上市時間。此設(shè)計串行化處理 8 個數(shù)字輸入,每個輸入最高 34 伏,減少了隔離通道的數(shù)量和主機接口所需的 GPIO 引腳。串行化數(shù)據(jù)和控制信號使用 TI 的高速 4242 伏峰值電壓數(shù)字
2015-03-23 11:17:45

移位寄存串行輸入,串行輸出

串行輸入串行輸出移位寄存每級將數(shù)據(jù)延遲一個時鐘時間。它們將為每個寄存存儲一點數(shù)據(jù)。串行輸入,串行輸出移位寄存的長度可能為一到64位,如果級聯(lián)寄存或封裝,則長度會更長。下面是一個單級
2020-09-24 09:33:07

詳解數(shù)字輸入串行

輸入電壓進行檢測,檢測范圍最低可達 6Vdc,最高可達300 Vdc。 本文將介紹數(shù)字輸入串行的工作原理及其低、中、高壓輸入信號配置情況。 工作原理 為了更好地理解 DIS 的工作原理,我們以
2019-06-11 05:00:05

請問ADI有輸入信號15V的數(shù)字隔離產(chǎn)品嗎?

你好,請推薦一款輸入信號為15V的ADI數(shù)字隔離產(chǎn)品,謝謝!
2019-01-17 14:44:44

請問倍頻輸入信號和輸出信號的相位關(guān)系?

如題:1. 倍頻輸入信號和輸出信號的相位關(guān)系能否用相應(yīng)的公式來進行表征?2. 鎖相環(huán)的參考和輸出信號的相位關(guān)系是否和倍頻輸入輸出信號的相位關(guān)系類似?3. 分頻輸入輸出信號、DDS的參考信號和輸出信號的相位關(guān)系是否跟倍頻輸入輸出信號相位關(guān)系類似?
2018-10-12 09:10:46

隔離數(shù)字輸入介紹

內(nèi)部結(jié)構(gòu) 數(shù)字隔離充當(dāng)提供電流隔離數(shù)字信號路徑的基本(或通常是加強型)功能。來自德州儀器(TI)的隔離結(jié)構(gòu)是電容性的,其絕緣屏障由我們互補的金屬氧化物半導(dǎo)體(CMOS)工藝技術(shù)構(gòu)建的兩個高壓電
2022-11-09 06:49:17

隔離式數(shù)字輸入模塊和數(shù)字隔離的區(qū)別

雖然隔離式數(shù)字輸入模塊和數(shù)字隔離聽起來很相似,但實際上它們之間卻存在著明顯的差異。閱讀本博文后,希望您能夠輕松分辨出兩個隔離模塊之間的區(qū)別。內(nèi)部結(jié)構(gòu)數(shù)字隔離充當(dāng)隔離數(shù)字信號路徑的基本(或通常是
2021-11-17 06:30:00

RSM-4055 8通道隔離數(shù)字輸入輸出模塊

RSM-4055 是帶隔離的數(shù)字輸入輸出模塊。模塊有8 路隔離數(shù)字輸入,8 路隔離數(shù)字量輸出。數(shù)字輸入可支持開關(guān)觸點信號或電平信號數(shù)字量輸出采用開漏輸出,最大負(fù)載可
2009-11-10 14:04:3999

ADE1202ACCZ-RL是一款輸入監(jiān)控解決方案

ADE12021 是一款雙通道可配置隔離式數(shù)字輸入監(jiān)控解決方案,適用于能源輸送和分配應(yīng)用。ADE1202 可通過串行端口接口 (SPI) 配置為對數(shù)字輸入執(zhí)行
2023-03-18 09:53:31

單端輸入差分放大電路輸入信號的等效變換

單端輸入差分放大電路輸入信號的等效變換 本文對單端輸入差分放大電路發(fā)射極耦合傳輸?shù)姆治龇椒ㄟM行了深入研究,利用電路分析的方法將單端輸入信號等效
2010-04-13 16:44:5057

數(shù)字輸入鎖定電路

數(shù)字輸入鎖定電路
2009-09-15 11:07:49492

搜狗如何(打)輸入大寫數(shù)字

搜狗如何(打)輸入大寫數(shù)字 搜狗輸入法要輸入大寫數(shù)字,需要先輸入v,然后再輸入數(shù)字。比如v123的候選項是:“一百二十三”和“壹佰貳拾叁”。
2009-11-26 08:16:0742955

MAX532 雙路、串行輸入、電壓輸出、乘法、12位DAC

MAX532 雙路、串行輸入、電壓輸出、乘法、12位DAC   概述 The MAX532 is a comp
2010-03-04 08:39:182491

背投電視的PC信號輸入

背投電視的PC信號輸入              部分背投電
2010-01-04 17:00:25975

差分信號共模電壓ADC輸入電路設(shè)計

  隨著ADC的供電電壓的不斷降低,輸入信號擺幅的不斷降低,輸入信號的共模電壓的精確控制顯得越來越重要。交流耦合輸入
2010-11-26 10:27:594769

32路數(shù)字輸入輸出模塊(端子板)

XS-32DIO 32路多功能非隔離輸入輸出模塊(端子板),主要是配合DCS數(shù)字、模擬輸入輸出卡或PLC數(shù)字、模擬輸入輸出模塊使用。可用來對常規(guī)模擬信號、數(shù)字信號輸入進行連接或驅(qū)動小型
2011-04-01 11:25:5862

低功耗串行輸入DAC參考設(shè)計

本文介紹了低功耗串行輸入 DAC 參考設(shè)計,全文為英文版。
2011-06-27 15:08:5447

低功耗控制器與高DC電壓的接口連接

本文將介紹數(shù)字輸入串行器的工作原理及其低、中、高壓輸入信號配置情況。
2011-08-09 11:40:52755

ADC沒有輸入信號,為什么輸出數(shù)據(jù)位仍不斷變化

我的ADC沒有輸入信號,為什么輸出數(shù)據(jù)位仍在不斷變化?不熟悉高速ADC的人可能會認(rèn)為:在靜態(tài)模擬輸入下,轉(zhuǎn)換器的數(shù)字輸出將保持恒定。
2012-06-01 15:15:533936

STM32 管腳作為不同外設(shè)的 輸入/出 端時的模式配置

STM32的其中一個好處就是管腳可以靈活地按照需求來配置其模式,可以配置成為輸入也可以成為輸出,但是這方便的同時也帶來了更多的麻煩,我設(shè)定管腳作為中斷輸入的時候,我應(yīng)該配置AIN
2016-05-09 14:36:4311

MCS-51 數(shù)字信號輸入輸出接口電路

MCS-51 數(shù)字信號輸入輸出接口電路
2016-12-11 23:38:390

數(shù)字信號輸入輸出接口電路

數(shù)字信號輸入輸出接口電路【更齊全】
2016-12-16 21:32:440

FPGA設(shè)計中對輸入信號的處理

一般來說,在全同步設(shè)計中,如果信號來自同一時鐘域,各模塊的輸入不需要寄存。只要滿足建立時間,保持時間的約束,可以保證在時鐘上升沿到來時,輸入信號已經(jīng)穩(wěn)定,可以采樣得到正確的值。
2017-02-11 14:01:043403

串行EEPROM共享輸入輸出配置在半導(dǎo)體上的應(yīng)用

串行數(shù)據(jù)。這個接口可以減少到3信號共享DI和做為一個共同的輸入輸出信號。但是,以下注意事項應(yīng)采取預(yù)防問題,由于迪村/做爭奪: 1)共享共享/配置結(jié)構(gòu)的讀指令: (適用于93C46,93C56、93c57,93C66和93C86)數(shù)據(jù)輸出引腳(DO)保持在高阻抗?fàn)顟B(tài)雖然大部分的讀指
2017-03-31 11:18:3212

將單路PWM輸入信號轉(zhuǎn)換成雙線輸入信號

的一個輸入導(dǎo)致其輸出跟隨另一個輸入,并將一個XOR的輸入綁定到邏輯一導(dǎo)致其輸出是其其他輸入的邏輯逆。 因此,如果用戶在圖1所示的配置中連接兩個XOR門,則兩個PWM信號可以產(chǎn)生,原始信號和它的邏輯逆,以最小的時間偏移。sn74lvc86已被成功地用
2017-05-25 16:11:3919

數(shù)字輸入串行器的工作原理

  新一代的接口器件,被稱作數(shù)字輸入串行器(DIS),其在連接低功耗微控制器的同時能夠以最高能效方式對數(shù)字輸入電壓進行檢測,檢測范圍最低可達6Vdc,最高可達300Vdc。本文將介紹數(shù)字輸入串行器的工作原理及其低、中、高壓輸入信號配置情況。
2017-09-15 10:27:094

串行輸入輸出和并行輸入輸出的區(qū)別解析

并行輸出一般是并行輸出8位的二進制碼或格雷碼。串行輸出是通過一根時鐘線和一根數(shù)據(jù)線,每一個時鐘沿輸出一位數(shù)據(jù)。并行輸入一般是將一串?dāng)?shù)據(jù)(如八位數(shù)據(jù))先輸入數(shù)據(jù)緩沖器,當(dāng)數(shù)據(jù)達到八位時一同輸入目的
2017-11-19 17:40:5537358

賽靈思 FPGA 芯片對模擬輸入信號數(shù)字化介紹

現(xiàn)如今,賽靈思 FPGA 上采用低電壓差分信令 (LVDS) 輸入, 僅需一個電阻器和一個電容器就能實現(xiàn)模擬輸入信號數(shù)字化 。 由于數(shù)百組 LVDS 輸入駐留在生成電流的賽靈思器件上,因此
2018-01-11 01:06:074940

如何通過從串行接口讀取數(shù)據(jù)來向ADC14DS105提供輸入信號和時鐘

該應(yīng)用報告討論了設(shè)計實現(xiàn),并著重討論如何通過從串行接口讀取數(shù)據(jù)來向ADC14DS105提供輸入信號和時鐘。此外,獨特的輸出驅(qū)動器的細(xì)節(jié)進行審查。
2018-05-16 17:02:374

用于工業(yè)數(shù)字輸入的8通道寬10-34V數(shù)字輸入串行器-SN65HVS882

業(yè)內(nèi)首款 8 通道 34V 數(shù)字輸入串行器 SN65HVS882 具有市面上最高的輸入密度。集成功能減少了所需組件的數(shù)目,節(jié)省了高達 60% 的電路板面積并創(chuàng)建出靈活、經(jīng)濟高效的解決方案。借助 TI 的 SN65HVS882 數(shù)字輸入串行器簡化了設(shè)計過程并縮短了上市時間.
2018-06-12 14:40:003112

輸入信號消失 SR鎖存器如何實現(xiàn)存儲

信號消失”,我估計指的是將S、R均置為0的意思。我有時也會說給某個輸入加個信號或者撤掉某個信號,指的是給這個輸入置1或置0。所以題主想問的是,為什么SR鎖存器S和R置為0時,輸出能夠保持不變。
2018-12-03 11:19:388814

數(shù)字輸入串行器的工作原理解析

適用于高伏接口的一些傳感器開關(guān)包括接近開關(guān)、繼電器觸點、限位開關(guān)、按鈕開關(guān)等等。就高輸入電壓而言,輸入電阻器 RIN0 到 RIN7 的實施對于將輸入開關(guān)閾值升至更高電平來說是必要的,而低輸入電壓的系統(tǒng)一般無需輸入電阻器。
2019-09-23 16:12:553935

Spectrum儀器PCIe數(shù)字化儀可額外擴展8個數(shù)字輸入

(至3.3 V)。因此,數(shù)字輸入能夠處理輸入電壓在-0.5 V到+4.0 V之間的3.3 V LVTTL信號。數(shù)字輸入帶寬為125MHz,軟件支持同步和異步獲取模式。
2020-06-17 15:54:432739

單極性ADC的輸入范圍和配置介紹

這是一種純粹的ADC驅(qū)動功能,無信號調(diào)理。 當(dāng)前一級的驅(qū)動能力不夠時,它為ADC提供高輸入阻抗。 這種配置的噪聲和功耗最低,因為沒有附加電阻。 在單電源應(yīng)用中,信號擺幅可能會受輸入或輸出放大器裕量要求的限制。 對于差分輸入,可利用兩個單位增益驅(qū)動器來實現(xiàn)高阻抗輸入,參見CN0307。
2020-07-13 18:02:265247

詳解移位寄存器,串行輸入串行輸出的分析

串行輸入,串行輸出移位寄存器每級將數(shù)據(jù)延遲一個時鐘時間。 它們將為每個寄存器存儲一點數(shù)據(jù)。串行輸入串行輸出移位寄存器的長度可能為一到64位,如果級聯(lián)寄存器或封裝,則長度會更長。 下面
2020-09-24 15:18:0928403

PLC模擬量輸入數(shù)字輸入及工作原理是什么

數(shù)字信號輸入輸出:就是開關(guān)閉合,斷開。 模擬量輸入輸出: 就是一個數(shù)值。比如:液位1.5米,溫度30度,這樣的數(shù)。 輸入單元 輸入單元是PLC與被控設(shè)備相連的輸入接口,是信號進入PLC的橋梁
2020-09-29 16:06:488933

四通道軟件可配置輸入/輸出方案AD74412R的特點及應(yīng)用

AD74412R 是一款適用于樓宇和過程控制應(yīng)用的四通道軟件可配置輸入/輸出解決方案。AD74412R 包含用于模擬輸出、模擬輸入數(shù)字輸入和電阻溫度檢測器 (RTD) 測量的功能,這些功能集成在一個單芯片解決方案中,并具有與串行端口接口 (SPI) 兼容的接口。
2020-12-01 17:03:251429

使用單片機實現(xiàn)74HC165串行輸入8-16位數(shù)據(jù)信號的仿真文件

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機實現(xiàn)74HC165串行輸入8-16位數(shù)據(jù)信號的仿真文件免費下載。
2021-03-12 14:57:2813

使用單片機實現(xiàn)CC4014串行輸入8-16位數(shù)據(jù)信號的仿真文件

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機實現(xiàn)CC4014串行輸入8-16位數(shù)據(jù)信號的仿真文件。
2021-03-12 14:57:008

使用單片機實現(xiàn)CC4021串行輸入8-16位數(shù)據(jù)信號的仿真文件

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機實現(xiàn)CC4021串行輸入8-16位數(shù)據(jù)信號的仿真文件。
2021-03-12 14:57:0011

AD5441: 12位串行輸入乘法DAC

AD5441: 12位串行輸入乘法DAC
2021-03-18 21:45:178

Altera Cyclone II FPGA的幾種代碼配置

與 AS串行配置芯片配合使用,它與 FPGA的接口為四跟信號線,分別為 : 串行時鐘輸入 (DCLK),AS控制信號輸入 (ASDI) ,片選信號 (nCS),串行數(shù)據(jù)輸出 (DATA)。
2021-04-06 15:33:028

UG-1731:評估ADE1201單通道、可配置、隔離數(shù)字輸入

UG-1731:評估ADE1201單通道、可配置、隔離數(shù)字輸入
2021-04-14 16:09:288

ADE1202:雙通道、可配置、隔離數(shù)字輸入數(shù)據(jù)表

ADE1202:雙通道、可配置、隔離數(shù)字輸入數(shù)據(jù)表
2021-04-15 16:23:573

UG-1679:評估ADE1202雙通道、可配置、隔離數(shù)字輸入

UG-1679:評估ADE1202雙通道、可配置、隔離數(shù)字輸入
2021-04-15 17:26:007

AD8300:3伏,串行輸入完整的12位DAC數(shù)據(jù)表

AD8300:3伏,串行輸入完整的12位DAC數(shù)據(jù)表
2021-04-18 17:45:136

設(shè)計解決方案3雙極輸入24位A/D轉(zhuǎn)換器接受±2.5V輸入差分輸入24位A/D轉(zhuǎn)換器為雙極輸入信號提供半標(biāo)度零

設(shè)計解決方案3雙極輸入24位A/D轉(zhuǎn)換器接受±2.5V輸入差分輸入24位A/D轉(zhuǎn)換器為雙極輸入信號提供半標(biāo)度零
2021-04-25 10:48:341

EVAL-AD5064:四路16位串行輸入電壓輸出DAC評估板

EVAL-AD5064:四路16位串行輸入電壓輸出DAC評估板
2021-04-26 15:55:4711

ADE1201:單通道、可配置、隔離數(shù)字輸入數(shù)據(jù)表

ADE1201:單通道、可配置、隔離數(shù)字輸入數(shù)據(jù)表
2021-05-11 15:53:547

EVAL-AD7453:偽差分輸入評估板,帶串行接口的12位ADC

EVAL-AD7453:偽差分輸入評估板,帶串行接口的12位ADC
2021-05-20 21:23:062

第6章:數(shù)字輸入/輸出模塊(I/O)

第6章:數(shù)字輸入/輸出模塊(I/O)PPT下載
2021-10-08 14:51:5319

單片機:A/D 差分輸入信號

文章目錄A/D 差分輸入信號A/D 差分輸入信號在前面的文章已經(jīng)提到過,控制字的第4位和第5位是用于控制 PCF8591 的模擬輸入引腳是單端輸入還是差分輸入。差分輸入是模擬電路常用的一個技巧,這里
2021-11-23 17:36:2010

通用定時器的PWM輸入捕獲實驗

通用定時器的PWM輸入捕獲實驗(寄存器版本)PWM輸入捕獲模式是輸入捕獲模式的一個特例,除下列區(qū)別外,操作與輸入捕獲模式相同:兩個ICx信號被映射至同一個TIx輸入。這兩個ICx信號為邊沿有效,但是極性相反。其中一個TIxFP信號被作為觸發(fā)輸入信號,而從模式控制 器被配置成復(fù)位模式。
2021-11-24 09:21:0219

3、GIPO輸入(按鍵配置

本節(jié)通過按鍵處理led來演示IO輸入模式的配置1、根據(jù)原理圖按鍵找到對應(yīng)的引腳2、打開cubemx選擇對應(yīng)的芯片創(chuàng)建工程3、配置系統(tǒng)時鐘,打開外部高速時鐘,配置時鐘頻率為72MHz(本項如有不明白
2021-12-03 09:06:069

GPIO的8種輸入輸出模式和引腳封裝

1、模擬輸入:專用于ADC通道的輸入,輸入信號為模擬信號。其他的輸入均為數(shù)字信號輸入。在上圖中,模擬信號跳過上下拉電阻,直接輸入到IO邏輯電路。2、浮空輸入輸入信號數(shù)字信號。在上圖中,數(shù)字信號
2021-12-04 11:21:098

單片機的輸入輸出模式

;經(jīng)過上拉電阻–>TTL舒密特觸發(fā)器轉(zhuǎn)換成數(shù)字信號–>輸入數(shù)據(jù)寄存器上拉輸入信號–>保護二極管–>經(jīng)過下拉電阻–>TTL舒密特觸發(fā)器轉(zhuǎn)換成數(shù)字信號–>輸入數(shù)據(jù)寄存器浮空輸入模擬信號–>保護二極管–>TTL舒密特觸發(fā)器轉(zhuǎn)換成數(shù)字信號–>輸入數(shù)據(jù)寄
2022-01-14 14:23:390

壓力測試儀輸入壓力信號代碼

系統(tǒng)描述;   輸入 15--115kPA壓力信號   輸出 00h--ffh數(shù)字信號(adc0832)   在LCD上顯示實際的壓力值,如果超限則報警
2022-03-30 14:06:140

PLC信號輸入知識分享

眼睛在工廠里對應(yīng)的是什么?就是輸入信號,比如說接近開關(guān),光電開關(guān),各種傳感器等檢測外部狀態(tài)的裝置;四肢是輸出信號,對應(yīng)工廠里的電機,氣缸等等直接驅(qū)動設(shè)備的裝置。因此無論輸入還是輸出都同樣重要。
2022-10-28 11:16:51821

什么是隔離數(shù)字輸入?

什么是隔離數(shù)字輸入?
2022-11-01 08:24:192

采用MAX22191的工業(yè)數(shù)字輸入

數(shù)字輸入(DI)是一種電路,設(shè)計用于接收從工業(yè)傳感器發(fā)送的二進制信號,并將該輸入轉(zhuǎn)換為可編程邏輯控制器(PLC)或工業(yè)控制器的可靠邏輯信號。工業(yè)二進制信號的常見示例是按鈕和/或溫度或接近閾值指示器
2023-01-12 10:05:03722

8位串行輸入/串行輸出或并行輸出移位寄存器;三態(tài)-74LVC595A

8位串行輸入/串行輸出或并行輸出移位寄存器;三態(tài)-74LVC595A
2023-02-15 18:50:480

8位串行輸入/串行輸出或并行輸出移位寄存器;三態(tài)-74LV595

8位串行輸入/串行輸出或并行輸出移位寄存器;三態(tài)-74LV595
2023-02-15 19:17:101

帶輸出鎖存器的8位串行輸入/串行輸出或并行輸出移位寄存器-74VHC_VHCT595

帶輸出鎖存器的 8 位串行輸入/串行輸出或并行輸出移位寄存器-74VHC_VHCT595
2023-02-17 20:02:070

帶輸出鎖存器的8位串行輸入/串行輸出或并行輸出移位寄存器-74AHC_AHCT595

帶輸出鎖存器的 8 位串行輸入/串行輸出或并行輸出移位寄存器-74AHC_AHCT595
2023-02-20 18:43:490

什么是隔離式數(shù)字輸入?

隔離式數(shù)字輸入數(shù)字隔離器雖然它們聽起來很相似,但隔離式數(shù)字輸入數(shù)字隔離器之間實際上存在一些值得注意的差異。看完這篇文章,希望大家能輕松分辨出兩種隔離功能的區(qū)別。
2023-02-24 16:53:52714

什么叫plc輸入 plc輸入信號類型 plc沒有輸入信號

PLC輸入是指PLC系統(tǒng)從外部設(shè)備采集到的信號,例如傳感器信號、按鈕信號、開關(guān)信號等。PLC的輸入通常分為數(shù)字輸入和模擬量輸入兩種類型,數(shù)字輸入是指只有兩個狀態(tài)的信號,例如開關(guān)信號、按鈕信號等。
2023-03-14 17:17:496366

怎么分辨電路的輸入信號的電壓輸入還是電流輸入

怎么分辨電路的輸入信號的電壓輸入還是電流輸入?? 電路作為電子技術(shù)的重要組成部分,輸入信號的電壓和電流輸入在很多時候都需要進行分辨。那么在實際的應(yīng)用中,我們應(yīng)該如何進行分辨呢?下面,讓我們來詳細(xì)探討
2023-09-17 16:44:422294

ECU的數(shù)字輸入測試

ECU典型的數(shù)字輸入包括一個上拉或下拉電阻,然后通過一個RC濾波電路輸入到MCU的PORT口。
2023-10-19 10:51:42254

什么是數(shù)字濾波器的采樣速率?和輸入信號的頻率有什么關(guān)系?

什么是數(shù)字濾波器的采樣速率?和輸入信號的頻率有什么關(guān)系? 數(shù)字濾波器的采樣速率是指數(shù)字濾波器輸入信號的采樣頻率,也稱為采樣率,通常用赫茲(Hz)表示。在數(shù)字信號處理中,為了實現(xiàn)對模擬信號數(shù)字
2023-10-20 15:02:301384

PLL對射頻輸入信號有什么要求?

PLL對射頻輸入信號有什么要求? PLL(Phase Locked Loop)是一種電路,可將輸入信號和參考信號的相位和頻率保持一致,用于頻率合成、時鐘生成、調(diào)制解調(diào)、數(shù)字信號處理、無線通信等一些
2023-10-30 10:46:50410

輸入四輸出模擬量信號隔離變送器

深圳捷晟達(JSD科技)的JSD TA-1004系列單輸入四輸出模擬量信號隔離變送器,是將輸入與輸出之間電氣絕緣的模擬信號進行變換、放大、隔離的小型儀表設(shè)備,接收現(xiàn)場儀表的各種模擬量信號輸入,通過
2023-09-02 02:59:220

輸入四輸出模擬量信號隔離變送器

輸入四輸出模擬量信號隔離變送器深圳捷晟達(JSD科技)的JSD TA-2004系列雙輸入四輸出模擬量信號隔離變送器,是將輸入與輸出之間電氣絕緣的模擬信號進行變換、放大、隔離的小型儀表設(shè)備,接收現(xiàn)場
2023-09-02 03:04:001

差分放大電路中,單端輸入和雙端輸入兩種輸出值是否相同?為什么呢?

差分放大電路中,單端輸入和雙端輸入兩種輸出值是否相同?為什么呢? 在差分放大電路中,單端輸入和雙端輸入的輸出值是有區(qū)別的。差分放大電路是一種特殊的放大電路,其輸入信號由兩個相位相差180度的信號組成
2023-11-20 16:24:082845

數(shù)字輸入與輸出原理是什么

是General-purpose input/output,大部分情況下,我們都會用簡稱GPIO GPIO的功能,主要是指這個管腳可以作為數(shù)字信號輸入或者輸出使用,到底是輸入還是輸出呢,這需要我們使用系統(tǒng)寄存器來進行配置。 當(dāng)GPIO被設(shè)置為輸入模式時,就可以讀取這個管腳上的電平高低,從而實現(xiàn)
2023-11-27 11:45:19324

qlineedit設(shè)置只能輸入數(shù)字

輸入的內(nèi)容,比如只允許輸入數(shù)字。本文將詳細(xì)介紹如何使用QLineEdit設(shè)置只能輸入數(shù)字。 一種常見的方法是通過信號與槽機制來實現(xiàn)輸入限制。我們可以使用QLineEdit的textChanged信號和自定義的槽函數(shù)來檢查用戶輸入的字符是否為數(shù)字。下面是一個簡單的示例代碼:
2023-11-30 16:11:383038

高阻輸入和低阻輸入的阻抗分別是多少

高阻輸入和低阻輸入是指在電子電路中輸入端的兩種不同電阻特性。高阻輸入指的是輸入端的電阻較大,而低阻輸入指的是輸入端的電阻較小。 在電路中,輸入端的電阻會影響信號的傳輸和處理。高阻輸入通常用于對信號
2023-12-25 15:32:26585

已全部加載完成