電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>處理器/DSP>基于(CPLD)EPM7128SLC84-15的LED點(diǎn)陣顯

基于(CPLD)EPM7128SLC84-15的LED點(diǎn)陣顯

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴

評論

查看更多

相關(guān)推薦

基于RS232行列式矩陣鍵盤接口的設(shè)計(jì)方案

LED0-led2的顯示狀態(tài)可判斷芯片的工作情況;實(shí)現(xiàn)所有電路功能的程序均是在美國ALTERA公司生產(chǎn)的具有現(xiàn)場可編程功能的芯片EPM7128SLC84-15上調(diào)試通過的。該電路的設(shè)計(jì)貼近生活,實(shí)用性強(qiáng),制成芯片后可作為一般的PC機(jī)鍵盤與主機(jī)的接口使用。
2014-03-21 10:25:122801

基于EPM7128SLC84芯片實(shí)現(xiàn)雷達(dá)仿真信號(hào)發(fā)生器的設(shè)計(jì)

成本,而且還給系統(tǒng)軟件設(shè)計(jì)增加不必要的負(fù)擔(dān)。為此,提出了一種基于CPLD的雷達(dá)仿真信號(hào)的實(shí)現(xiàn)方案,它能為機(jī)載雷達(dá)測試系統(tǒng)提供所需的多種典型的重頻脈沖及制導(dǎo)信號(hào)。
2020-06-19 07:49:002146

基于EPM240T100C5的CPLD開發(fā)保姆級(jí)環(huán)境搭建教程

基于EPM240T100C5的CPLD開發(fā)保姆級(jí)環(huán)境搭建教程
2023-06-09 19:35:543062

EPM7128E

EPM7128E - Programmable Logic Device Family - Altera Corporation
2022-11-04 17:22:44

EPM7128AELC84-7

IC CPLD 128MC 7.5NS 84PLCC
2023-10-31 15:01:17

EPM7128ELC84-15YY

IC CPLD 128MC 15NS 84PLCC
2023-10-31 15:01:16

EPM7128SLC84-15

IC CPLD 128MC 15NS 84PLCC
2024-03-14 21:24:34

EPM7128SLC84-15N

IC CPLD 128MC 15NS 84PLCC
2024-03-14 21:24:34

EPM7128SLC84-15引腳圖嗎?

`EPM7128SLC84-15引腳圖嗎?`
2013-12-18 23:53:53

EPM7128SLC84-10

IC CPLD 128MC 10NS 84PLCC
2024-03-14 21:24:35

EPM7128SLC84-10N

EPM7128SLC84-10N
2023-03-29 21:50:27

EPM7128SLC84-6

IC CPLD 128MC 6NS 84PLCC
2024-03-14 21:24:34

EPM7128SLC84-6F

IC CPLD 128MC 6NS 84PLCC
2024-03-14 21:24:36

EPM7128SLC84-6N

IC CPLD 128MC 6NS 84PLCC
2024-03-14 21:24:35

EPM7128SLC84-7

IC CPLD 128MC 7.5NS 84PLCC
2024-03-14 21:24:36

EPM7128SLC84-7N

IC CPLD 128MC 7.5NS 84PLCC
2024-03-14 21:24:36

EPM7160SLC84-6

IC CPLD 160MC 6NS 84PLCC
2024-03-14 21:24:35

LED點(diǎn)陣書寫顯示屏

觸及LED點(diǎn)陣模塊表面時(shí),先由光筆檢測觸及位置處LED點(diǎn)的掃描微亮以獲取其行列坐標(biāo),再依據(jù)功能需求決定該坐標(biāo)處的LED是否點(diǎn)亮至人眼可見的顯示狀態(tài)(如圖1中光筆接觸處的深色LED點(diǎn)已被點(diǎn)亮),從而在屏上實(shí)現(xiàn)“點(diǎn)亮、劃亮、反、整屏擦除、筆畫擦除、連寫多字、對象拖移”等書寫顯示功能。[/hide]
2009-11-21 16:26:07

LED點(diǎn)陣書寫顯示屏的設(shè)計(jì)

本課題設(shè)計(jì)運(yùn)用了一種以單片機(jī) AT89S52 為控制器的 LED 點(diǎn)陣書寫顯示屏系統(tǒng)的設(shè)計(jì)。本設(shè)計(jì)介紹了在單片機(jī)控制下,由 4 個(gè) 8×8LED 點(diǎn)陣模塊組成的 16×16LED 點(diǎn)陣模塊為顯示屏
2018-01-12 14:58:28

LED點(diǎn)陣顯示控制系統(tǒng)結(jié)構(gòu)及工作原理是什么

LED點(diǎn)陣顯示控制系統(tǒng)結(jié)構(gòu)及工作原理是什么基于CPLDLED點(diǎn)陣顯示控制器介紹
2021-04-30 07:17:35

cpld與8051的總線接口vhdl設(shè)計(jì)源碼

CPLD使用EPM7128 時(shí)鐘為16MHZ有源晶振 地址0xC000--0xC003為8255 8051的P0P2WR RD ALE 于CPLD連接
2012-08-10 18:56:47

點(diǎn)陣數(shù)驅(qū)動(dòng)芯片3線/4線芯片數(shù)LED原廠VK1618概述及功能特點(diǎn)

、LED屏驅(qū)動(dòng)、數(shù)驅(qū)動(dòng)IC、LED芯片、LED驅(qū)動(dòng)器、數(shù)碼管顯示驅(qū)動(dòng)、LED顯示驅(qū)動(dòng)、LED數(shù)驅(qū)動(dòng)原廠、LED數(shù)驅(qū)動(dòng)芯片、LED驅(qū)動(dòng)IC、點(diǎn)陣LED顯示驅(qū)動(dòng)、LED屏驅(qū)動(dòng)IC、數(shù)驅(qū)動(dòng)芯片、數(shù)碼管
2023-10-12 14:23:43

ATF1504-84開發(fā)板的資料分享

(EPM7xxx) 有一些 CPLD。該器件與 Atmel 的 ATF150x 兼容。EPM7032 有 32 個(gè)宏單元,與 ATF1502 相同,EPM7064 是 ATF1504,EPM7128
2022-09-02 06:15:13

C語言+PROTUES入門+keil編寫+單片機(jī)接口和輸出設(shè)計(jì)+LED點(diǎn)陣....

C語言+PROTUES入門+keil編寫+單片機(jī)接口和輸出設(shè)計(jì)+LED點(diǎn)陣....。。。。。。
2012-08-03 09:11:33

MAX7000系列芯片

各位大神,我現(xiàn)在用EPM7128SLC84-15芯片,編程軟件quartusII和仿真軟件用哪個(gè)版本比較合適!我是初學(xué)者,請看到的朋友多多指導(dǎo)!
2017-09-11 19:30:53

關(guān)于epm7032slc44-10n芯片,十分頻的問題

新人求問,我是一名大二的學(xué)生,這學(xué)期才開始學(xué)習(xí)數(shù)字電子技術(shù),因?yàn)椴恍⌒陌褦?shù)字電子試驗(yàn)箱的十分頻芯片epm7032slc44-10n燒了,老師讓我自己去編一塊還給他,不然不讓我過。我查了一下,這種芯片
2017-05-08 19:50:55

函數(shù)信號(hào)發(fā)生器原理及實(shí)驗(yàn)

函數(shù)信號(hào)發(fā)生器原理及實(shí)驗(yàn)  一、實(shí)驗(yàn)?zāi)康牧私釪A轉(zhuǎn)換的工作原理,熟悉AD558的使用方法。二、硬件要求1、主芯片EPM7128SLC84-15。2、模擬功能塊AD558。3、四位8
2009-10-10 11:41:07

分享一款不錯(cuò)的基于CPLDLED點(diǎn)陣顯示控制器

分享一款不錯(cuò)的基于CPLDLED點(diǎn)陣顯示控制器
2021-04-26 06:00:20

利用CPLD控制16*16點(diǎn)陣,求助。求硬件圖?。?!

直接用74LS240和 SN7407N 芯片作為LED的驅(qū)動(dòng)芯片,搭建LED點(diǎn)陣顯示電路,然后用CPLD(EPM240)直接控制。[size=21.600000381469727px]希望大家?guī)蛡€(gè)
2013-11-07 15:32:43

基于EPM240T100和STC15單片機(jī)設(shè)計(jì)聯(lián)合小系統(tǒng)電路和PCB

本人新手,剛剛接觸cpld,之前學(xué)習(xí)過51單片機(jī),請問如何將EPM240T100和STC15單片機(jī)聯(lián)合在一起,謝謝了
2015-07-23 13:31:30

基于DSP和CPLD的空間瞬態(tài)光輻射信號(hào)實(shí)時(shí)識(shí)別處理

采用美國altera公司的max7000s系列cpld芯片epm7128slc84,利用cpld實(shí)現(xiàn)a/d 變速率采樣及其它邏輯控制。
2019-06-25 06:26:46

基于MCU+CPLD的新型光柵數(shù)系統(tǒng)研發(fā)介紹

了基于MCU+CPLD的新型光柵數(shù)系統(tǒng)。該系統(tǒng)具有計(jì)數(shù)精度高、成本低、操作方便以及升級(jí)快等特點(diǎn),能夠處理高達(dá)5 MHz/s的正交脈沖,并在掉電時(shí)有效存儲(chǔ)當(dāng)前長度值,其數(shù)碼管可顯示關(guān)鍵的長度值,點(diǎn)陣式液晶屏還可顯示相關(guān)的提示信息。
2019-07-29 06:53:42

如何利用EPM7128設(shè)計(jì)數(shù)據(jù)合并轉(zhuǎn)換器?

求大佬分享一款基于CPLD芯片EPM7128設(shè)計(jì)的數(shù)據(jù)合并轉(zhuǎn)換器
2021-04-15 06:34:50

如何采用CPLD技術(shù)來實(shí)現(xiàn)120MHz高速A/D采集卡的設(shè)計(jì)?

本文將詳細(xì)論述采用CPLD技術(shù)來實(shí)現(xiàn)120MHz高速A/D采集卡的設(shè)計(jì)方法,該采集卡具有包括負(fù)延遲觸發(fā)在內(nèi)的多種觸發(fā)方式,采用CPLD復(fù)雜可編程邏輯器件(又稱FPGA)EPM7128SQC100-7和AD公司的高速模數(shù)轉(zhuǎn)換器(A/D)AD9054BST-135來實(shí)現(xiàn)。
2021-04-30 06:27:01

字符發(fā)生器設(shè)計(jì)及實(shí)驗(yàn)

1、主芯片EPM7128SLC84-15。2、可變時(shí)鐘源。3、帶有事先編程好字庫/字符的E2PROM2864。4、16×16掃描LED點(diǎn)陣。三、實(shí)驗(yàn)原理16×16掃描LED點(diǎn)陣的工作原理同8位掃描
2009-10-10 11:37:23

開發(fā)板里的CPLD原程序,一般廠商是不提供的,內(nèi)部資料,非常有用

本帖最后由 assingle 于 2011-2-18 14:03 編輯 開發(fā)板里的CPLD內(nèi)部邏輯原理,一般廠商是不提供的,內(nèi)部資料,非常有用,希望能給大家有參考作用,開發(fā)環(huán)境QUARTUS II 6.0,CPLDEPM240T100C5N,超便宜,比EPM7128性價(jià)比要好得多.附件下載:
2011-02-18 14:02:16

掃描顯示驅(qū)動(dòng)電路設(shè)計(jì)及實(shí)驗(yàn)

EPM7128SLC84-15,時(shí)鐘,8位八段數(shù)碼管顯示器,四位撥碼開關(guān)。三、實(shí)驗(yàn)內(nèi)容    1、用撥碼開關(guān)產(chǎn)生8421BCD碼,用CPLD產(chǎn)生字形編碼電路和掃描驅(qū)動(dòng)電路
2009-10-10 11:35:26

數(shù)字鐘綜合實(shí)驗(yàn)及原理

。6、掌握CPLD技術(shù)的層次化設(shè)計(jì)方法。三、硬件要求1、主芯片Altera EPM7128SLC84-15。2、8個(gè)LED燈。3、揚(yáng)聲器。4、8位8段掃描共陰極數(shù)碼顯示管。5、三個(gè)按鍵開關(guān)(清零,調(diào)
2009-10-10 11:49:14

模擬信號(hào)檢測

模擬信號(hào)檢測一、實(shí)驗(yàn)?zāi)康挠肈A轉(zhuǎn)換+比較器的方法對外界模擬信號(hào)進(jìn)行檢測,同時(shí)這種聯(lián)合裝置加上CPLD可以代替低頻AD轉(zhuǎn)換器的功能。二、硬件要求1、主芯片EPM7128SLC84-15。2、模擬功能塊
2009-10-11 09:13:44

模擬信號(hào)檢測原理及實(shí)驗(yàn)

EPM7128SLC84-15。2、模擬功能塊AD558。3、模擬功能塊雙運(yùn)放LM358。4、可變電阻。三、實(shí)驗(yàn)原理CPLD芯片產(chǎn)生8位二進(jìn)制循環(huán)加法計(jì)數(shù)值D7~D0,它們與AD558數(shù)據(jù)端相連,使DA轉(zhuǎn)換產(chǎn)生0
2009-10-10 11:39:00

求altera cpld epm2210的PROTEL 99SE的原理圖及PCB圖

求altera cpld epm2210的PROTEL 99SE的原理圖及PCB圖
2015-05-03 08:54:51

白光LED驅(qū)動(dòng)電路APW7128資料推薦

白光LED驅(qū)動(dòng)電路APW7128資料下載內(nèi)容包括:APW7128引腳功能APW7128內(nèi)部方框圖APW7128典型應(yīng)用電路APW7128極限參數(shù)
2021-04-01 07:34:19

第一個(gè)VHDL代碼無法編譯通過,求大俠幫助編譯

--使用max plus II,選擇了EPM7128SLC84-6,我的MAXPLUS里沒有EPM7128SLC84-10可以選擇。LIBRARY ieee;USE
2014-07-24 08:47:41

觸發(fā)器功能的模擬實(shí)驗(yàn)

轉(zhuǎn)換的方法。5、通過實(shí)驗(yàn)、體會(huì)CPLD芯片的高集成度和多I\O口。二、硬件要求主芯片Altera EPM7128SLC84-15,時(shí)鐘,按鍵開關(guān),撥碼開關(guān),邏輯“1”“0”,LED燈。三、實(shí)驗(yàn)內(nèi)容&
2009-10-10 11:32:55

計(jì)數(shù)器及時(shí)序電路原理及實(shí)驗(yàn)

Altera EPM7128SLC84-15,時(shí)鐘,四位八段數(shù)碼管。三、實(shí)驗(yàn)內(nèi)容1、用D觸發(fā)器設(shè)計(jì)異步四位二進(jìn)制加法計(jì)數(shù)器。2、用JK觸發(fā)器設(shè)計(jì)異步十進(jìn)制減法計(jì)數(shù)器。3、用74161兩個(gè)宏連接成八位二進(jìn)制同步
2009-10-10 11:47:02

請教CPLD下載

本人在MAX+PLUSII 軟件中分別進(jìn)行了時(shí)序波形仿真和下載到真實(shí)電路板上的仿真,使用的仿真芯片和真實(shí)電路板上的芯片相同為EPM7128SQC100-10,時(shí)鐘為40MHz,得出的結(jié)果如下:1、在
2011-11-22 09:22:54

請問STM32與CPLD通信有EPM570T144I5N芯片的datasheet嗎?

stm32與CPLD通信,使用FSMC配置的時(shí)候,要知道這個(gè)芯片的EPM570T144I5N寫周期和讀周期長度等參數(shù),有沒有這個(gè)EPM570T144I5N芯片的datasheet???網(wǎng)上找出來的不詳細(xì)。謝啦
2019-02-28 06:35:18

請問stca15系列和cpldepm240系列怎么通信連接?

單片機(jī)stca15系列和cpldepm240系列怎么通信連接???
2023-10-27 08:25:22

請問誰有epm7128的tqfp封裝的管腳定義分布圖???官網(wǎng)只有84腳的!!

請問誰有epm7128的tqfp封裝的管腳定義分布圖啊?官網(wǎng)只有84腳的?。×硗庹埥谈呤郑篛E1、OE2、GCLK1、GCLK2、GLCRn怎么用???謝謝大家,我第一次用cpld,大家見笑了?。?!
2011-08-22 11:31:19

采用RS232實(shí)現(xiàn)行列式矩陣鍵盤接口設(shè)計(jì)

發(fā)光二極管led0-led2的顯示狀態(tài)可判斷芯片的工作情況;實(shí)現(xiàn)所有電路功能的程序均是在美國ALTERA公司生產(chǎn)的具有現(xiàn)場可編程功能的芯片EPM7128SLC84-15上調(diào)試通過的。該電路的設(shè)計(jì)貼近生活,實(shí)用性強(qiáng),制成芯片后可作為一般的PC機(jī)鍵盤與主機(jī)的接口使用。
2019-06-20 05:00:04

AGM CPLD AG576SL144 PIN to PIN Altera EPM570T144

我們是AGM的長期授權(quán)代理商,可以為用戶提供最具競爭力的價(jià)格與技術(shù)支持服務(wù)。 PIN to PIN  Altera-CPLD: AG576SL144--->
2021-11-23 10:12:42

CPLD在交流電機(jī)控制系統(tǒng)中的測速應(yīng)用

介紹了基于CPLD 的交流電機(jī)控制系統(tǒng)測速子系統(tǒng)的設(shè)計(jì)原理及自頂向下的設(shè)計(jì)方法。測速子系統(tǒng)應(yīng)用一片復(fù)雜可編程器(CPLDEPM7128 和VHDL 語言設(shè)計(jì),不占用電機(jī)控制系統(tǒng)中主控DSP 芯
2009-05-26 10:46:0623

核磁共振測場儀的計(jì)量顯示部分

測場儀利用核磁共振(NMR)原理,使用邊緣振蕩器法對磁場進(jìn)行測量。計(jì)量顯示 部分以CPLD 芯片EPM7128SLC84-6 和單片機(jī)OM4368BN 為核心部件,使用Verilog HDL 和C 語言設(shè)計(jì)頻率
2009-06-19 10:10:2828

CPLD在時(shí)柵位移傳感器中的應(yīng)用

本文詳細(xì)介紹了EPM7128SLC84在智能時(shí)柵位移傳感器中的應(yīng)用,給出了基于CPLD的智能時(shí)柵位移傳感器的數(shù)字電路設(shè)計(jì)和數(shù)據(jù)采集與預(yù)處理軟件設(shè)計(jì)。關(guān)鍵詞: CPLD;智能時(shí)柵位移傳感器
2009-07-10 16:03:3414

基于PLD及FPGA的頻率與相位測量系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn)

基于PLD及FPGA的頻率與相位測量系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn):摘 要:本測量系統(tǒng)由頻率相位測量儀和DDS 雙路移相信號(hào)發(fā)生器兩部分組成。頻率相位測量由Altera EPM7128S84 CPLD 完成,雙路移相信號(hào)
2009-09-25 15:50:0330

基于DSP和CPLD的液晶模塊的設(shè)計(jì)

本文介紹了一種基于DSP TMS320F2812和CPLD EPM7128SQC100的液晶模塊的設(shè)計(jì)與實(shí)現(xiàn)方法。將CPLD作為DSP與液晶模塊之間連接的橋梁,解決了快速處理器DSP與慢速外設(shè)液晶模塊的匹配問題,給
2010-01-20 14:48:1554

基于CPLD的頻譜電平顯示電路設(shè)計(jì)與實(shí)現(xiàn)

本文基于VHDL硬件描述語言,利用CPLD器件EPM570T100C5和LED點(diǎn)陣屏實(shí)現(xiàn)了對音頻信號(hào)的頻譜顯示,給出了設(shè)計(jì)過程、VHDL語言源程序和實(shí)驗(yàn)結(jié)果,拓展了CPLD在顯示領(lǐng)域的應(yīng)用。
2010-02-24 14:46:4528

EPM1270F256C4N,ALTERA/阿爾特拉,即時(shí)開啟非易失性CPLD,處理器

EPM1270F256C4N,ALTERA/阿爾特拉,即時(shí)開啟非易失性CPLD,處理器EPM1270F256C4N,ALTERA/阿爾特拉,即時(shí)開啟非易失性CPLD,處理器
2023-10-24 15:38:16

基于CPLD的頻譜電平顯示電路設(shè)計(jì)與實(shí)現(xiàn)

本文基于VHDL硬件描述語言,利用CPLD器件EPM570T100C5和LED點(diǎn)陣屏實(shí)現(xiàn)了對音頻信號(hào)的頻譜顯示,給出了設(shè)計(jì)過程、VHDL語言源程序和實(shí)驗(yàn)結(jié)果,拓展了CPLD在顯示領(lǐng)域的應(yīng)用。
2010-07-17 18:07:4025

基于EPM7128和HLMP2X50的鍵盤編碼顯示電路

采用大規(guī)??删幊踢壿嬯嚵衅骷?b class="flag-6" style="color: red">EPM7128以及發(fā)光二極管燈HLMP2X50實(shí)現(xiàn)鍵盤編碼以及狀態(tài)顯示電路。鍵盤編碼顯示電路與主控電路間的通信通過CAN總線實(shí)現(xiàn)。所設(shè)計(jì)的鍵盤編碼顯示電
2010-12-11 17:00:0445

基于CPLD的電器定時(shí)開關(guān)控制系統(tǒng)設(shè)計(jì)

CPLD器件EPM7128SLC84-6為核心的電器定時(shí)開關(guān)控制系統(tǒng)設(shè)計(jì)實(shí)現(xiàn)24小時(shí)制時(shí)鐘功能,可同時(shí)設(shè)置多個(gè)電器的定時(shí)自動(dòng)開啟和關(guān)閉,開關(guān)時(shí)間從0時(shí)0分到23時(shí)59分之間任意可調(diào)。CPLD部分使
2010-12-17 15:42:2328

基于CPLD和Embedded System的LED點(diǎn)陣顯示

基于CPLD和Embedded System的LED點(diǎn)陣顯示系統(tǒng)實(shí)現(xiàn) 摘要:采用自頂向下的設(shè)計(jì)思想,綜合運(yùn)用EDA 技術(shù)、CPLD技術(shù)和共享式雙口RAM,解決了大屏幕LED點(diǎn)陣顯示屏無閃爍
2008-11-01 15:36:08589

基于EPM7128設(shè)計(jì)的數(shù)據(jù)合并轉(zhuǎn)換器

基于EPM7128設(shè)計(jì)的數(shù)據(jù)合并轉(zhuǎn)換器 數(shù)據(jù)交換機(jī)的傳送速率很高,當(dāng)其和串行口通信時(shí),在發(fā)送前把數(shù)據(jù)分為兩
2009-03-28 16:39:391120

EPM7128S在雷達(dá)電子干擾模擬訓(xùn)練器中的應(yīng)用

【摘 要】 介紹Altera公司的復(fù)雜可編程邏輯(CPLD)器件EPM7128S在炮瞄雷達(dá)電子干擾模擬訓(xùn)練器中的應(yīng)用。主要討論利用EPM7128S器件對炮瞄雷達(dá)電子干擾模擬訓(xùn)練器的數(shù)字邏輯電路部
2009-05-15 22:31:101249

基于EMP7128的數(shù)字式相位測量儀

摘要: 分析了基于Altera公司CPLD芯片EMP7128SLC84-15進(jìn)行相位測量的基本原理,給出了用EMP7128SLC8415進(jìn)行相位測量的硬件實(shí)現(xiàn)電路及VHDL源程序。 關(guān)鍵詞
2009-06-20 14:54:131086

LED點(diǎn)陣屏是的控制原理 LED廣告屏是怎么控制這么多LED燈的#led #LED點(diǎn)陣

ledLED點(diǎn)陣電廠點(diǎn)陣
電廠運(yùn)行娃發(fā)布于 2022-10-17 01:27:25

基于EPM7128SLC84實(shí)現(xiàn)的AD574A采樣控制器

基于EPM7128SLC84實(shí)現(xiàn)的AD574A采樣控制器 介紹基于Altera公司的EPM7128SLC84芯片實(shí)現(xiàn)AD574 A采樣控制器的原理,并給出了相應(yīng)的VHDL控制程序。  關(guān)鍵詞
2009-10-13 18:58:302072

JX002B型CPLD實(shí)驗(yàn)板使用說明書

JX00B型 CPLD 實(shí)驗(yàn)板是在JX002、JX002A實(shí)驗(yàn)板基礎(chǔ)上推出的ALTERA實(shí)驗(yàn)板,其特點(diǎn): 1.價(jià)格實(shí)惠,特別適合初學(xué)者生。2.支持5v ALTERA的84腳芯片,具體可以支持以下芯片: EPM7128SLC84(5v) EPM7064SLC
2011-06-03 16:32:2133

EPM7128在光柵位移測量儀中的應(yīng)用

  基于EPM 7128SLC84-15構(gòu)成的位移測量系統(tǒng)具有分辨率高、誤差小、電路結(jié)構(gòu)簡單、成本低等優(yōu)點(diǎn),完全能夠滿足實(shí)際測量的需要。由于采用的是CPLD設(shè)計(jì),系統(tǒng)易于升級(jí)。
2011-09-01 11:50:061978

PLD設(shè)計(jì)速成(6)-編譯和布線

我們先要指定所用芯片的型號(hào), 菜單:Assign-Device 如下圖 將彈出一窗口 (注意把show only fastest speed grades前的鉤去掉,否則看不到EPM7128SLC84-15) 在Debice Family 中選擇MAX7000S DEVICE選擇EPM7128SLC
2012-05-18 17:08:592864

點(diǎn)陣式紅外燈與傳統(tǒng)LED燈的區(qū)別

點(diǎn)陣式紅外燈與傳統(tǒng)LED燈,我們可以從以下幾個(gè)方面來區(qū)別: 1、亮度:單一個(gè)傳統(tǒng)LED燈的光學(xué)輸出一般約為5-15mW,現(xiàn)今雖有40-50mW或更大功率的LED問市,但依然無法與單顆點(diǎn)陣LED相比
2012-07-11 09:20:403693

LED點(diǎn)陣滾動(dòng)顯示【C語言】

LED點(diǎn)陣滾動(dòng)顯示【C語言】 LED點(diǎn)陣滾動(dòng)顯示【C語言】 LED點(diǎn)陣滾動(dòng)顯示【C語言】
2015-12-29 15:54:0517

TMS320LF2407型DSP和EPM7128CPLD在移動(dòng)

TMS320LF2407型DSP和EPM7128CPLD在移動(dòng)機(jī)器人驅(qū)動(dòng)與控制系統(tǒng)中的應(yīng)用
2016-05-06 16:54:547

BJ-EPM CPLD開發(fā)套件介紹

BJ-EPM CPLD開發(fā)套件相關(guān)例程和文檔
2016-07-08 14:23:000

BJ-EPM_CPLD開發(fā)套件相關(guān)例程_Verilog_prj

BJ-EPM CPLD開發(fā)套件相關(guān)例程_Verilog_prj
2016-10-27 18:07:5416

BJ-EPM_CPLD開發(fā)套件介紹

FPGA學(xué)習(xí)資料教程——BJ-EPM CPLD開發(fā)套件介紹
2016-10-27 18:07:540

EPM7128SLC84_15芯片資料

比較完整的CPLD芯片資料
2017-04-28 09:04:5078

數(shù)據(jù)合并轉(zhuǎn)換器電路的工作原理分析及如何采用EPM7128芯片實(shí)現(xiàn)

數(shù)據(jù)交換機(jī)的傳送速率很高,當(dāng)其和串行口通信時(shí),在發(fā)送前把數(shù)據(jù)分為兩部分分別發(fā)送到串行口,然后經(jīng)過數(shù)據(jù)合并轉(zhuǎn)換器把各個(gè)串行口的數(shù)據(jù)合并在一起并轉(zhuǎn)換成PCM流。本文介紹了基于CPLD芯片EPM7128設(shè)計(jì)的數(shù)據(jù)合并轉(zhuǎn)換器。
2019-12-18 08:11:004001

采用高精度ADR434芯片和EPM7064SLC84-10芯片實(shí)現(xiàn)波形發(fā)生器的設(shè)計(jì)

及RAM之間的數(shù)據(jù)接口加入74LVC16245(16位總線變換器)以增加DSP的驅(qū)動(dòng)能力,并用來隔斷器件間的干擾。DSP與DAC之間的邏輯控制采用CPLD實(shí)現(xiàn),這樣可以方便系統(tǒng)的設(shè)計(jì)與調(diào)試,本文中采用的CPLD為Altera公司的EPM7064SLC84-10。
2020-05-28 07:57:002867

EPM240 CPLD開發(fā)板的電路原理圖免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是EPM240 CPLD開發(fā)板的電路原理圖免費(fèi)下載。
2019-03-27 16:02:15122

EPM7128LC84-15實(shí)驗(yàn)板管腳規(guī)定的詳細(xì)資料說明

本文檔的主要內(nèi)容詳細(xì)介紹的是EPM7128LC84-15實(shí)驗(yàn)板管腳規(guī)定的詳細(xì)資料說明。
2019-05-05 08:00:0014

如何使用CPLD進(jìn)行電器定時(shí)開關(guān)控制系統(tǒng)的設(shè)計(jì)

CPLD 器件 EPM7128SLC84- 6 為核心的電器定時(shí)開關(guān)控制系統(tǒng)設(shè)計(jì)實(shí)現(xiàn) 24 小時(shí)制時(shí)鐘功能, 可同時(shí)設(shè)置多個(gè)電器的定時(shí)自動(dòng)開啟和關(guān)閉, 開關(guān)時(shí)間從 0 時(shí) 0 分到 23
2019-06-11 08:00:004

EPM1270 CPLD開發(fā)板的電路原理圖合集免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是EPM1270 CPLD開發(fā)板的電路原理圖合集免費(fèi)下載。
2019-06-17 08:00:00154

基于EPM7128SLC84—10 CPLD芯片實(shí)現(xiàn)脈沖信號(hào)源電路的設(shè)計(jì)

脈沖信號(hào)源電路核心采用一片可編程邏輯器件EPM7128SLC84—10,它屬于Ahera公司MAX7000系列產(chǎn)品,MAX7000系列產(chǎn)品是高密度、高性能的CMOS EPLD,是工業(yè)界速度最快
2020-08-05 11:27:222240

基于EPM7128SQC100和AD9054BST實(shí)現(xiàn)120MHz高速A/D采集卡的設(shè)計(jì)

可編程邏輯器件(又稱FPGA)EPM7128SQC100-7和AD公司的高速模數(shù)轉(zhuǎn)換器(A/D)AD9054BST-135來實(shí)現(xiàn)。
2020-11-12 10:19:002079

基于單片機(jī)和EPM7128SLC84-15芯片實(shí)現(xiàn)電動(dòng)自行車充電系統(tǒng)的設(shè)計(jì)

電動(dòng)車由于具有無廢氣污染、無噪音、輕便美觀等特點(diǎn),受到眾多使用者的青睞。但使用中也暴露出它的局限性,那就是蓄電池的容量決定了它的使用范圍,而且存在充電時(shí)間長的缺點(diǎn)。目前隨著電動(dòng)自行車的發(fā)展,急需解決的問題就是如何實(shí)現(xiàn)快速靈活的充電。
2021-03-05 10:19:124768

LED驅(qū)動(dòng)IC FP7128中文應(yīng)用手冊

LED驅(qū)動(dòng)IC FP7128中文應(yīng)用手冊
2021-12-06 09:40:0410

AGM CPLD數(shù)據(jù)手冊

AGM CPLD數(shù)據(jù)手冊。AGM 兼容ALTERA的EPM240 EPM570 EPM1270等。
2022-03-21 14:49:0732

已全部加載完成