電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>基于FPGA的人工神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)方法的研究

基于FPGA的人工神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)方法的研究

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

0061《人工神經(jīng)網(wǎng)絡(luò)建造》.pdf(10M)

1000本電子專業(yè)書籍免費(fèi)大放送https://bbs.elecfans.com/forum.php?mod=viewthread&tid=287358&fromuid=286650061《人工神經(jīng)網(wǎng)絡(luò)建造》.pdf(10M)希望大家多頂頂,提升提升人氣。
2013-01-22 08:38:39

人工神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)方法有哪些?

人工神經(jīng)網(wǎng)絡(luò)(Artificial Neural Network,ANN)是一種類似生物神經(jīng)網(wǎng)絡(luò)的信息處理結(jié)構(gòu),它的提出是為了解決一些非線性,非平穩(wěn),復(fù)雜的實(shí)際問題。那有哪些辦法能實(shí)現(xiàn)人工神經(jīng)網(wǎng)絡(luò)呢?
2019-08-01 08:06:21

人工神經(jīng)網(wǎng)絡(luò)原理及下載

人工神經(jīng)網(wǎng)絡(luò)是根據(jù)人的認(rèn)識(shí)過程而開發(fā)出的一種算法。假如我們現(xiàn)在只有一些輸入和相應(yīng)的輸出,而對(duì)如何由輸入得到輸出的機(jī)理并不清楚,那么我們可以把輸入與輸出之間的未知過程看成是一個(gè)“網(wǎng)絡(luò)”,通過不斷地給
2008-06-19 14:40:42

人工神經(jīng)網(wǎng)絡(luò)在傳感器數(shù)據(jù)融合中的應(yīng)用

人工神經(jīng)網(wǎng)絡(luò)在傳感器數(shù)據(jù)融合中的應(yīng)用針對(duì)壓力傳感器對(duì)溫度的交叉靈敏度,采用BP 人工神經(jīng)網(wǎng)絡(luò)法對(duì)其進(jìn)行數(shù)據(jù)融合處理,消除溫度對(duì)壓力傳感器的影響,大大提高了傳感器的穩(wěn)定性及其精度,效果良好。關(guān)鍵詞
2009-08-11 20:23:46

人工神經(jīng)網(wǎng)絡(luò)算法的學(xué)習(xí)方法與應(yīng)用實(shí)例(pdf彩版)

的基本處理單元,它是神經(jīng)網(wǎng)絡(luò)的設(shè)計(jì)基礎(chǔ)。神經(jīng)元是以生物的神經(jīng)系統(tǒng)的神經(jīng)細(xì)胞為基礎(chǔ)的生物模型。在人們對(duì)生物神經(jīng)系統(tǒng)進(jìn)行研究,以探討人工智能的機(jī)制時(shí),把神經(jīng)元數(shù)學(xué)化,從而產(chǎn)生了神經(jīng)元數(shù)學(xué)模型。因此,要了解人工神經(jīng)模型就必須先了解生物神經(jīng)元模型。`
2018-10-23 16:16:02

人工神經(jīng)網(wǎng)絡(luò)課件

人工神經(jīng)網(wǎng)絡(luò)課件
2016-06-19 10:15:48

神經(jīng)網(wǎng)絡(luò)教程(李亞非)

  第1章 概述  1.1 人工神經(jīng)網(wǎng)絡(luò)研究與發(fā)展  1.2 生物神經(jīng)元  1.3 人工神經(jīng)網(wǎng)絡(luò)的構(gòu)成  第2章人工神經(jīng)網(wǎng)絡(luò)基本模型  2.1 MP模型  2.2 感知器模型  2.3 自適應(yīng)線性
2012-03-20 11:32:43

神經(jīng)網(wǎng)絡(luò)移植到STM32的方法

神經(jīng)網(wǎng)絡(luò)移植到STM32最近在做的一個(gè)項(xiàng)目需要用到網(wǎng)絡(luò)進(jìn)行擬合,并且將擬合得到的結(jié)果用作控制,就在想能不能直接在單片機(jī)上做神經(jīng)網(wǎng)絡(luò)計(jì)算,這樣就可以實(shí)時(shí)計(jì)算,不依賴于上位機(jī)。所以要解決的主要是兩個(gè)
2022-01-11 06:20:53

AI知識(shí)科普 | 從無(wú)人相信到萬(wàn)人追捧的神經(jīng)網(wǎng)絡(luò)

神經(jīng)網(wǎng)絡(luò)之父Hiton始終堅(jiān)持計(jì)算機(jī)能夠像人類一樣思考,用直覺而非規(guī)則。盡管這一觀點(diǎn)被無(wú)數(shù)人質(zhì)疑過無(wú)數(shù)次,但隨著數(shù)據(jù)的不斷增長(zhǎng)和數(shù)據(jù)挖掘技術(shù)的不斷進(jìn)步,神經(jīng)網(wǎng)絡(luò)開始在語(yǔ)音和圖像等方面超越基于邏輯的人工
2018-06-05 10:11:50

EdgeBoard中神經(jīng)網(wǎng)絡(luò)算子在FPGA中的實(shí)現(xiàn)方法是什么?

FPGA加速的關(guān)鍵因素是什么?EdgeBoard中神經(jīng)網(wǎng)絡(luò)算子在FPGA中的實(shí)現(xiàn)方法是什么?
2021-09-28 06:37:44

labview BP神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)

請(qǐng)問:我在用labview做BP神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)故障診斷,在NI官網(wǎng)找到了機(jī)器學(xué)習(xí)工具包(MLT),但是里面沒有關(guān)于這部分VI的幫助文檔,對(duì)于”BP神經(jīng)網(wǎng)絡(luò)分類“這個(gè)范例有很多不懂的地方,比如
2017-02-22 16:08:08

matlab實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò) 精選資料分享

習(xí)神經(jīng)神經(jīng)網(wǎng)絡(luò),對(duì)于神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)是如何一直沒有具體實(shí)現(xiàn)一下:現(xiàn)看到一個(gè)簡(jiǎn)單的神經(jīng)網(wǎng)絡(luò)模型用于訓(xùn)練的輸入數(shù)據(jù):對(duì)應(yīng)的輸出數(shù)據(jù):我們這里設(shè)置:1:節(jié)點(diǎn)個(gè)數(shù)設(shè)置:輸入層、隱層、輸出層的節(jié)點(diǎn)
2021-08-18 07:25:21

【AI學(xué)習(xí)】第3篇--人工神經(jīng)網(wǎng)絡(luò)

`本篇主要介紹:人工神經(jīng)網(wǎng)絡(luò)的起源、簡(jiǎn)單神經(jīng)網(wǎng)絡(luò)模型、更多神經(jīng)網(wǎng)絡(luò)模型、機(jī)器學(xué)習(xí)的步驟:訓(xùn)練與預(yù)測(cè)、訓(xùn)練的兩階段:正向推演與反向傳播、以TensorFlow + Excel表達(dá)訓(xùn)練流程以及AI普及化教育之路。`
2020-11-05 17:48:39

【PYNQ-Z2申請(qǐng)】基于PYNQ-Z2的神經(jīng)網(wǎng)絡(luò)圖形識(shí)別

項(xiàng)目名稱:基于PYNQ-Z2的神經(jīng)網(wǎng)絡(luò)圖形識(shí)別試用計(jì)劃:申請(qǐng)理由:本人為一名嵌入式軟件工程師,對(duì)FPGA有一段時(shí)間的接觸,基于FPGA設(shè)計(jì)過簡(jiǎn)單的ASCI數(shù)字芯片。目前正好在學(xué)習(xí)基于python
2019-01-09 14:48:59

【PYNQ-Z2申請(qǐng)】基于PYNQ的卷積神經(jīng)網(wǎng)絡(luò)加速

項(xiàng)目名稱:基于PYNQ的卷積神經(jīng)網(wǎng)絡(luò)加速試用計(jì)劃:申請(qǐng)理由:本人研究生在讀,想要利用PYNQ深入探索卷積神經(jīng)網(wǎng)絡(luò)的硬件加速,在PYNQ上實(shí)現(xiàn)圖像的快速處理項(xiàng)目計(jì)劃:1、在PC端實(shí)現(xiàn)Lnet網(wǎng)絡(luò)的訓(xùn)練
2018-12-19 11:37:22

【PYNQ-Z2試用體驗(yàn)】神經(jīng)網(wǎng)絡(luò)基礎(chǔ)知識(shí)

學(xué)習(xí)和認(rèn)知科學(xué)領(lǐng)域,是一種模仿生物神經(jīng)網(wǎng)絡(luò)(動(dòng)物的中樞神經(jīng)系統(tǒng),特別是大腦)的結(jié)構(gòu)和功能的數(shù)學(xué)模型或計(jì)算模型,用于對(duì)函數(shù)進(jìn)行估計(jì)或近似。神經(jīng)網(wǎng)絡(luò)由大量的人工神經(jīng)元聯(lián)結(jié)進(jìn)行計(jì)算。大多數(shù)情況下人工神經(jīng)網(wǎng)絡(luò)
2019-03-03 22:10:19

【專輯精選】人工智能之神經(jīng)網(wǎng)絡(luò)教程與資料

電子發(fā)燒友總結(jié)了以“神經(jīng)網(wǎng)絡(luò)”為主題的精選干貨,今后每天一個(gè)主題為一期,希望對(duì)各位有所幫助!(點(diǎn)擊標(biāo)題即可進(jìn)入頁(yè)面下載相關(guān)資料)人工神經(jīng)網(wǎng)絡(luò)算法的學(xué)習(xí)方法與應(yīng)用實(shí)例(pdf彩版)卷積神經(jīng)網(wǎng)絡(luò)入門資料MATLAB神經(jīng)網(wǎng)絡(luò)30個(gè)案例分析《matlab神經(jīng)網(wǎng)絡(luò)應(yīng)用設(shè)計(jì)》深度學(xué)習(xí)和神經(jīng)網(wǎng)絡(luò)
2019-05-07 19:18:14

【案例分享】ART神經(jīng)網(wǎng)絡(luò)與SOM神經(jīng)網(wǎng)絡(luò)

今天學(xué)習(xí)了兩個(gè)神經(jīng)網(wǎng)絡(luò),分別是自適應(yīng)諧振(ART)神經(jīng)網(wǎng)絡(luò)與自組織映射(SOM)神經(jīng)網(wǎng)絡(luò)。整體感覺不是很難,只不過一些最基礎(chǔ)的概念容易理解不清。首先ART神經(jīng)網(wǎng)絡(luò)是競(jìng)爭(zhēng)學(xué)習(xí)的一個(gè)代表,競(jìng)爭(zhēng)型學(xué)習(xí)
2019-07-21 04:30:00

不可錯(cuò)過!人工神經(jīng)網(wǎng)絡(luò)算法、PID算法、Python人工智能學(xué)習(xí)等資料包分享(附源代碼)

為了方便大家查找技術(shù)資料,電子發(fā)燒友小編為大家整理一些精華資料,讓大家可以參考學(xué)習(xí),希望對(duì)廣大電子愛好者有所幫助。 1.人工神經(jīng)網(wǎng)絡(luò)算法的學(xué)習(xí)方法與應(yīng)用實(shí)例(pdf彩版) 人工神經(jīng) 網(wǎng)絡(luò)
2023-09-13 16:41:18

什么是LSTM神經(jīng)網(wǎng)絡(luò)

簡(jiǎn)單理解LSTM神經(jīng)網(wǎng)絡(luò)
2021-01-28 07:16:57

優(yōu)化神經(jīng)網(wǎng)絡(luò)訓(xùn)練方法有哪些?

優(yōu)化神經(jīng)網(wǎng)絡(luò)訓(xùn)練方法有哪些?
2022-09-06 09:52:36

卷積神經(jīng)網(wǎng)絡(luò)如何使用

卷積神經(jīng)網(wǎng)絡(luò)(CNN)究竟是什么,鑒于神經(jīng)網(wǎng)絡(luò)在工程上經(jīng)歷了曲折的歷史,您為什么還會(huì)在意它呢? 對(duì)于這些非常中肯的問題,我們似乎可以給出相對(duì)簡(jiǎn)明的答案。
2019-07-17 07:21:50

卷積神經(jīng)網(wǎng)絡(luò)模型發(fā)展及應(yīng)用

卷積神經(jīng)網(wǎng)絡(luò)模型發(fā)展及應(yīng)用轉(zhuǎn)載****地址:http://fcst.ceaj.org/CN/abstract/abstract2521.shtml深度學(xué)習(xí)是機(jī)器學(xué)習(xí)和人工智能研究的最新趨勢(shì),作為一個(gè)
2022-08-02 10:39:39

卷積神經(jīng)網(wǎng)絡(luò)的層級(jí)結(jié)構(gòu)和常用框架

  卷積神經(jīng)網(wǎng)絡(luò)的層級(jí)結(jié)構(gòu)  卷積神經(jīng)網(wǎng)絡(luò)的常用框架
2020-12-29 06:16:44

卷積神經(jīng)網(wǎng)絡(luò)簡(jiǎn)介:什么是機(jī)器學(xué)習(xí)?

抽象人工智能 (AI) 的世界正在迅速發(fā)展,人工智能越來(lái)越多地支持以前無(wú)法實(shí)現(xiàn)或非常難以實(shí)現(xiàn)的應(yīng)用程序。本系列文章解釋了卷積神經(jīng)網(wǎng)絡(luò) (CNN) 及其在 AI 系統(tǒng)中機(jī)器學(xué)習(xí)中的重要性。CNN 是從
2023-02-23 20:11:10

基于FPGA神經(jīng)網(wǎng)絡(luò)的性能評(píng)估及局限性

FPGA實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò)關(guān)鍵問題分析基于FPGA的ANN實(shí)現(xiàn)方法基于FPGA神經(jīng)網(wǎng)絡(luò)的性能評(píng)估及局限性
2021-04-30 06:58:13

基于神經(jīng)網(wǎng)絡(luò)混沌吸引子公鑰加密算法的FPGA實(shí)現(xiàn)

法是可以硬件實(shí)現(xiàn)的,并且具有較高的數(shù)據(jù)加密速度,時(shí)鐘頻率可達(dá)50 MHz以上【關(guān)鍵詞】:神經(jīng)網(wǎng)絡(luò);;混沌吸引子;;公鑰密碼;;FPGA【DOI】:CNKI:SUN:XDZK.0.2010-02-008
2010-04-24 09:15:41

基于BP神經(jīng)網(wǎng)絡(luò)的PID控制

最近在學(xué)習(xí)電機(jī)的智能控制,上周學(xué)習(xí)了基于單神經(jīng)元的PID控制,這周研究基于BP神經(jīng)網(wǎng)絡(luò)的PID控制。神經(jīng)網(wǎng)絡(luò)具有任意非線性表達(dá)能力,可以通過對(duì)系統(tǒng)性能的學(xué)習(xí)來(lái)實(shí)現(xiàn)具有最佳組合的PID控制。利用BP
2021-09-07 07:43:47

基于labview的BP人工神經(jīng)網(wǎng)絡(luò)曲線擬合小程序

`點(diǎn)擊學(xué)習(xí)>>《龍哥手把手教你學(xué)LabVIEW視覺設(shè)計(jì)》視頻教程用LabVIEW實(shí)現(xiàn)的BP人工神經(jīng)網(wǎng)絡(luò)曲線擬合,感謝LabVIEW的矩陣運(yùn)算函數(shù),程序流程較之文本型語(yǔ)言清晰很多。[hide] [/hide]`
2011-12-13 16:41:43

基于賽靈思FPGA的卷積神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)設(shè)計(jì)

FPGA實(shí)現(xiàn)卷積神經(jīng)網(wǎng)絡(luò) (CNN)。CNN 是一類深度神經(jīng)網(wǎng)絡(luò),在處理大規(guī)模圖像識(shí)別任務(wù)以及與機(jī)器學(xué)習(xí)類似的其他問題方面已大獲成功。在當(dāng)前案例中,針對(duì)在 FPGA實(shí)現(xiàn) CNN 做一個(gè)可行性研究
2019-06-19 07:24:41

如何使用stm32cube.ai部署神經(jīng)網(wǎng)絡(luò)?

如何用stm32cube.ai簡(jiǎn)化人工神經(jīng)網(wǎng)絡(luò)映射?如何使用stm32cube.ai部署神經(jīng)網(wǎng)絡(luò)
2021-10-11 08:05:42

如何利用SoPC實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò)速度控制器?

不確定因素影響,并且隨著可編程片上系統(tǒng)SoPC和大規(guī)模現(xiàn)場(chǎng)可編程門陣列FPGA的出現(xiàn),為神經(jīng)網(wǎng)絡(luò)控制器的硬件實(shí)現(xiàn)提供了新的載體。
2019-08-12 06:25:35

如何構(gòu)建神經(jīng)網(wǎng)絡(luò)?

原文鏈接:http://tecdat.cn/?p=5725 神經(jīng)網(wǎng)絡(luò)是一種基于現(xiàn)有數(shù)據(jù)創(chuàng)建預(yù)測(cè)的計(jì)算系統(tǒng)。如何構(gòu)建神經(jīng)網(wǎng)絡(luò)神經(jīng)網(wǎng)絡(luò)包括:輸入層:根據(jù)現(xiàn)有數(shù)據(jù)獲取輸入的層隱藏層:使用反向傳播優(yōu)化輸入變量權(quán)重的層,以提高模型的預(yù)測(cè)能力輸出層:基于輸入和隱藏層的數(shù)據(jù)輸出預(yù)測(cè)
2021-07-12 08:02:11

如何用ARM和FPGA搭建神經(jīng)網(wǎng)絡(luò)處理器通信方案?

人工神經(jīng)網(wǎng)絡(luò)FPGA處理器能夠?qū)?shù)據(jù)進(jìn)行運(yùn)算處理,為了實(shí)現(xiàn)集數(shù)據(jù)通信、操作控制和數(shù)據(jù)處理于一體的便攜式神經(jīng)網(wǎng)絡(luò)處理器,需要設(shè)計(jì)一種基于嵌入式ARM內(nèi)核及現(xiàn)場(chǎng)可編程門陣列FPGA的主從結(jié)構(gòu)處理系統(tǒng)滿足要求。
2021-05-21 06:35:27

如何用卷積神經(jīng)網(wǎng)絡(luò)方法去解決機(jī)器監(jiān)督學(xué)習(xí)下面的分類問題?

人工智能下面有哪些機(jī)器學(xué)習(xí)分支?如何用卷積神經(jīng)網(wǎng)絡(luò)(CNN)方法去解決機(jī)器學(xué)習(xí)監(jiān)督學(xué)習(xí)下面的分類問題?
2021-06-16 08:09:03

如何移植一個(gè)CNN神經(jīng)網(wǎng)絡(luò)FPGA中?

訓(xùn)練一個(gè)神經(jīng)網(wǎng)絡(luò)并移植到Lattice FPGA上,通常需要開發(fā)人員既要懂軟件又要懂?dāng)?shù)字電路設(shè)計(jì),是個(gè)不容易的事。好在FPGA廠商為我們提供了許多工具和IP,我們可以在這些工具和IP的基礎(chǔ)上做
2020-11-26 07:46:03

如何設(shè)計(jì)BP神經(jīng)網(wǎng)絡(luò)圖像壓縮算法?

神經(jīng)網(wǎng)絡(luò)(Neural Networks)是人工神經(jīng)網(wǎng)絡(luò)(Ar-tificial Neural Networks)的簡(jiǎn)稱,是當(dāng)前的研究熱點(diǎn)之一。人腦在接受視覺感官傳來(lái)的大量圖像信息后,能迅速做出反應(yīng)
2019-08-08 06:11:30

容差模擬電路軟故障診斷的小波與量子神經(jīng)網(wǎng)絡(luò)方法設(shè)計(jì)

作者:李云紅0 引言自20世紀(jì)70年代以來(lái),模擬電路故障診斷領(lǐng)域已經(jīng)取得了一定的研究成果,近年來(lái),基于神經(jīng)網(wǎng)絡(luò)技術(shù)的現(xiàn)代模擬電路軟故障診斷方法已成為新的研究熱點(diǎn),神經(jīng)網(wǎng)絡(luò)的泛化能力和非線性映射能力
2019-07-05 08:06:02

嵌入式中的人工神經(jīng)網(wǎng)絡(luò)的相關(guān)資料分享

人工神經(jīng)網(wǎng)絡(luò)在AI中具有舉足輕重的地位,除了找到最好的神經(jīng)網(wǎng)絡(luò)模型和訓(xùn)練數(shù)據(jù)集之外,人工神經(jīng)網(wǎng)絡(luò)的另一個(gè)挑戰(zhàn)是如何在嵌入式設(shè)備上實(shí)現(xiàn)它,同時(shí)優(yōu)化性能和功率效率。 使用云計(jì)算并不總是一個(gè)選項(xiàng),尤其是當(dāng)
2021-11-09 08:06:27

應(yīng)用人工神經(jīng)網(wǎng)絡(luò)模擬污水生物處理

應(yīng)用人工神經(jīng)網(wǎng)絡(luò)模擬污水生物處理(1.浙江工業(yè)大學(xué)建筑工程學(xué)院, 杭州 310014; 2.鎮(zhèn)江水工業(yè)公司排水管理處,鎮(zhèn)江 212003)摘要:針對(duì)復(fù)雜的非線性污水生物處理過程,開發(fā)了徑向基函數(shù)的人工
2009-08-08 09:56:00

怎么解決人工神經(jīng)網(wǎng)絡(luò)并行數(shù)據(jù)處理的問題

本文提出了一個(gè)基于FPGA 的信息處理的實(shí)例:一個(gè)簡(jiǎn)單的人工神經(jīng)網(wǎng)絡(luò)應(yīng)用Verilog 語(yǔ)言描述,該數(shù)據(jù)流采用模塊化的程序設(shè)計(jì),并考慮了模塊間數(shù)據(jù)傳輸信號(hào)同 步的問題,有效地解決了人工神經(jīng)網(wǎng)絡(luò)并行數(shù)據(jù)處理的問題。
2021-05-06 07:22:07

怎么設(shè)計(jì)ARM與神經(jīng)網(wǎng)絡(luò)處理器的通信方案?

FPGA的嵌入式應(yīng)用。某人工神經(jīng)網(wǎng)絡(luò)FPGA處理器能夠?qū)?shù)據(jù)進(jìn)行運(yùn)算處理,為了實(shí)現(xiàn)集數(shù)據(jù)通信、操作控制和數(shù)據(jù)處理于一體的便攜式神經(jīng)網(wǎng)絡(luò)處理器,需要設(shè)計(jì)一種基于嵌入式ARM內(nèi)核及現(xiàn)場(chǎng)可編程門陣列FPGA的主從結(jié)構(gòu)處理系統(tǒng)滿足要求。
2019-09-20 06:15:20

未來(lái)的人工智能技術(shù)趨勢(shì)是什么?

的根基。深度學(xué)習(xí)基于人腦結(jié)構(gòu),一層層互相連接的人工模擬神經(jīng)元模仿大腦的行為,處理視覺和語(yǔ)言等復(fù)雜問題。這些人工神經(jīng)網(wǎng)絡(luò)可以收集信息,也可以對(duì)其做出反應(yīng)。它們能對(duì)事物的外形和聲音做出解釋,還可以自行學(xué)習(xí)
2015-12-23 14:21:58

求利用LABVIEW 實(shí)現(xiàn)bp神經(jīng)網(wǎng)絡(luò)的程序

誰(shuí)有利用LABVIEW 實(shí)現(xiàn)bp神經(jīng)網(wǎng)絡(luò)的程序啊(我用的版本是8.6的 )
2012-11-26 14:54:59

求助基于labview的神經(jīng)網(wǎng)絡(luò)pid控制

小女子做基于labview的蒸發(fā)過程中液位的控制,想使用神經(jīng)網(wǎng)絡(luò)pid控制,請(qǐng)問這個(gè)控制方法可以嗎?有誰(shuí)會(huì)神經(jīng)網(wǎng)絡(luò)pid控制么。。。叩謝
2016-09-23 13:43:16

求基于labview的BP神經(jīng)網(wǎng)絡(luò)算法的實(shí)現(xiàn)過程

求高手,基于labview的BP神經(jīng)網(wǎng)絡(luò)算法的實(shí)現(xiàn)過程,最好有程序哈,謝謝?。?/div>
2012-12-10 14:55:50

求大神給一個(gè)人工神經(jīng)網(wǎng)絡(luò)與遺傳算法的matlab源代碼

求大神給一個(gè)人工神經(jīng)網(wǎng)絡(luò)與遺傳算法的源代碼。
2016-04-19 17:15:29

FPGA實(shí)現(xiàn)大型神經(jīng)網(wǎng)絡(luò)的設(shè)計(jì)

1、加速神經(jīng)網(wǎng)絡(luò)的必備開源項(xiàng)目  到底純FPGA適不適合這種大型神經(jīng)網(wǎng)絡(luò)的設(shè)計(jì)?這個(gè)問題其實(shí)我們不適合回答,但是FPGA廠商是的實(shí)際操作是很有權(quán)威性的,現(xiàn)在不論是Intel還是Xilinx都沒有在
2022-10-24 16:10:50

簡(jiǎn)單神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)

最簡(jiǎn)單的神經(jīng)網(wǎng)絡(luò)
2019-09-11 11:57:36

粒子群優(yōu)化模糊神經(jīng)網(wǎng)絡(luò)在語(yǔ)音識(shí)別中的應(yīng)用

研究具有重要意義.模糊神經(jīng)網(wǎng)絡(luò)人工神經(jīng)網(wǎng)絡(luò)和模糊系統(tǒng)相結(jié)合的新型網(wǎng)絡(luò)結(jié)構(gòu),把它應(yīng)用于語(yǔ)音識(shí)別系統(tǒng),使系統(tǒng)不僅具有非線性、自適應(yīng)性、魯棒性和自學(xué)習(xí)等神經(jīng)網(wǎng)絡(luò)本來(lái)的優(yōu)勢(shì),也具有模糊推理和模糊劃分等模糊邏輯全文下載
2010-05-06 09:05:35

脈沖耦合神經(jīng)網(wǎng)絡(luò)FPGA上的實(shí)現(xiàn)誰(shuí)會(huì)?

脈沖耦合神經(jīng)網(wǎng)絡(luò)(PCNN)在FPGA上的實(shí)現(xiàn),實(shí)現(xiàn)數(shù)據(jù)分類功能,有報(bào)酬。QQ470345140.
2013-08-25 09:57:14

隱藏技術(shù): 一種基于前沿神經(jīng)網(wǎng)絡(luò)理論的新型人工智能處理器

隱藏技術(shù): 一種基于前沿神經(jīng)網(wǎng)絡(luò)理論的新型人工智能處理器 Copy東京理工大學(xué)的研究人員開發(fā)了一種名為“ Hiddenite”的新型加速器芯片,該芯片可以在計(jì)算稀疏“隱藏神經(jīng)網(wǎng)絡(luò)”時(shí)達(dá)到最高的精度
2022-03-17 19:15:13

人工神經(jīng)網(wǎng)絡(luò)應(yīng)用于繼電保護(hù)的研究

根據(jù)現(xiàn)代控制技術(shù)的人工神經(jīng)網(wǎng)絡(luò)理論提出了一種保護(hù)原理構(gòu)成方案,并分析了原理實(shí)現(xiàn)的可行性和技術(shù)難點(diǎn)?! ?b class="flag-6" style="color: red">人工神經(jīng)網(wǎng)絡(luò)(Aartificial Neural Network,下簡(jiǎn)稱ANN)是模
2008-12-02 08:54:138

人工神經(jīng)網(wǎng)絡(luò)導(dǎo)論

人工神經(jīng)網(wǎng)絡(luò)導(dǎo)論依照簡(jiǎn)明易懂、便于軟件實(shí)現(xiàn)、鼓勵(lì)探索的原則介紹人工神經(jīng)網(wǎng)絡(luò)。內(nèi)容包括:智能系統(tǒng)描述模型、人工神經(jīng)網(wǎng)絡(luò)方法的特點(diǎn);基本人工神經(jīng)元模型,人工神經(jīng)
2009-01-13 14:58:5755

基于人工免疫網(wǎng)絡(luò)神經(jīng)網(wǎng)絡(luò)集成方法

提出基于人工免疫網(wǎng)絡(luò)神經(jīng)網(wǎng)絡(luò)集成方法AINEN。在用Bagging生成神經(jīng)網(wǎng)絡(luò)集成之后,將人工免疫網(wǎng)絡(luò)的原理應(yīng)用到神經(jīng)網(wǎng)絡(luò)集成,組成了一個(gè)從微觀上看是一個(gè)一個(gè)的神經(jīng)網(wǎng)絡(luò),而
2009-04-10 08:49:0818

自適應(yīng)模糊神經(jīng)網(wǎng)絡(luò)研究

模糊神經(jīng)網(wǎng)絡(luò)提供了從人工神經(jīng)網(wǎng)絡(luò)中模糊規(guī)則的抽取。本文研究模糊神經(jīng)網(wǎng)絡(luò)的自適應(yīng)學(xué)習(xí)、規(guī)則插入和抽取及神經(jīng)-模糊推理的FuNN 模型。把遺傳算法作為系統(tǒng)模糊規(guī)則選擇的自
2009-06-06 13:45:4218

i模糊人工神經(jīng)網(wǎng)絡(luò)在礦井構(gòu)造評(píng)價(jià)中的應(yīng)用

介紹了模糊綜合評(píng)判和人工神經(jīng)網(wǎng)絡(luò)原理,分析了一般BP神經(jīng)網(wǎng)絡(luò)研究復(fù)雜性問題時(shí)存在的局限性,根據(jù)模糊人工神經(jīng)網(wǎng)絡(luò)模型的構(gòu)建方法,探討了該模型在礦井構(gòu)造定量評(píng)價(jià)
2010-02-22 10:45:398

基于FPGA的人工神經(jīng)網(wǎng)絡(luò)系統(tǒng)的實(shí)現(xiàn)

為了改變人工神經(jīng)網(wǎng)絡(luò)研究僅僅局限于算法,只是在通用的串行或并行計(jì)算機(jī)上模擬實(shí)現(xiàn)的現(xiàn)狀,針對(duì)函數(shù)逼近問題,將BP神經(jīng)網(wǎng)絡(luò)的結(jié)構(gòu)分為3個(gè)模塊,采用VHDL語(yǔ)言完成對(duì)各個(gè)模
2010-11-25 17:17:2029

基于FPGA的人工神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)方法研究

基于FPGA的人工神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)方法研究 引 言    人工神經(jīng)網(wǎng)絡(luò)(Artificial Neural Network,ANN)是一種類似生物神經(jīng)網(wǎng)絡(luò)的信息處理結(jié)構(gòu),它的提出是為了
2009-11-17 17:17:201119

人工神經(jīng)網(wǎng)絡(luò),人工神經(jīng)網(wǎng)絡(luò)是什么意思

人工神經(jīng)網(wǎng)絡(luò),人工神經(jīng)網(wǎng)絡(luò)是什么意思 神經(jīng)網(wǎng)絡(luò)是一門活躍的邊緣性交叉學(xué)科.研究它的發(fā)展過程和前沿問題,具有重要的理論意義
2010-03-06 13:39:013296

人工神經(jīng)網(wǎng)絡(luò)的內(nèi)容有哪些?

人工神經(jīng)網(wǎng)絡(luò)的內(nèi)容有哪些? 人工神經(jīng)網(wǎng)絡(luò)模型主要考慮網(wǎng)絡(luò)連接的拓?fù)浣Y(jié)構(gòu)、神經(jīng)元的特征、學(xué)習(xí)規(guī)則等。目前,已有近40種神經(jīng)
2010-03-06 13:42:451564

基于混沌蟻群的神經(jīng)網(wǎng)絡(luò)速度辨識(shí)器研究

近年來(lái),由于神經(jīng)網(wǎng)絡(luò)研究取得了長(zhǎng)足的進(jìn)展,基于BP神經(jīng)網(wǎng)絡(luò)模型的速度辨識(shí)方法得到了廣泛研究,但其仍存在收斂速度慢、易陷入局部極小值等問題,因此,對(duì)神經(jīng)網(wǎng)絡(luò)的優(yōu)化
2010-06-14 06:52:321105

人工神經(jīng)網(wǎng)絡(luò)實(shí)用教程下載

本書系統(tǒng)的介紹了人工神經(jīng)網(wǎng)絡(luò)典型模型的原理、算法,并對(duì)遺傳算法的基本原理也做了簡(jiǎn)單介紹。 人工神經(jīng)網(wǎng)絡(luò)方法已應(yīng)用于許多領(lǐng)域。本書是以應(yīng)用為主要目的為從事人工智能、信息處理研究的科技人員及研究生、本科生等編寫的教材。
2011-02-17 17:46:04146

人工神經(jīng)網(wǎng)絡(luò)在天線設(shè)計(jì)中的應(yīng)用

人工神經(jīng)網(wǎng)絡(luò) 模型應(yīng)用于天線設(shè)計(jì)中,可以提高天線設(shè)計(jì)的效率和精度。人工神經(jīng)網(wǎng)絡(luò)一旦被訓(xùn)練成功,再次使用其進(jìn)行天線設(shè)計(jì)時(shí),可以充分發(fā)揮神經(jīng)網(wǎng)絡(luò)學(xué)習(xí)和泛化能力,提高
2011-06-22 16:42:1667

人工神經(jīng)網(wǎng)絡(luò)的發(fā)展及應(yīng)用

回顧了人工神經(jīng)網(wǎng)絡(luò)理論的發(fā)展歷史,并介紹了其在信息、醫(yī)學(xué)、經(jīng)濟(jì)、控制等領(lǐng)域的應(yīng)用及研究現(xiàn)狀。隨著人們對(duì)人工神經(jīng)網(wǎng)絡(luò)不斷地探索和研究,并將其與一些傳統(tǒng)方法相結(jié)合,將
2012-01-11 14:38:4026

人工神經(jīng)網(wǎng)絡(luò)

人工神經(jīng)網(wǎng)絡(luò)——第六代計(jì)算機(jī)的實(shí)現(xiàn)-1992-7-科學(xué)普及出版社-周繼成。
2016-04-12 11:08:590

神經(jīng)網(wǎng)絡(luò)圖像壓縮算法的FPGA實(shí)現(xiàn)技術(shù)研究

神經(jīng)網(wǎng)絡(luò)圖像壓縮算法的FPGA實(shí)現(xiàn)技術(shù)研究,下來(lái)看看
2016-09-17 07:29:2319

基于人工神經(jīng)網(wǎng)絡(luò)的轉(zhuǎn)輪除濕系統(tǒng)建模方法研究_叢華

基于人工神經(jīng)網(wǎng)絡(luò)的轉(zhuǎn)輪除濕系統(tǒng)建模方法研究_叢華
2016-12-29 14:40:190

基于神經(jīng)網(wǎng)絡(luò)的聚類方法研究_胡偉

基于神經(jīng)網(wǎng)絡(luò)的聚類方法研究_胡偉
2017-03-16 09:37:530

基于BP神經(jīng)網(wǎng)絡(luò)的人臉識(shí)別方法

人臉識(shí)別是當(dāng)前計(jì)算機(jī)智能模式識(shí)別領(lǐng)域的一個(gè)熱門的研究課題,在信息安全、訪問控制、金融支付、軍事等方面都有著重要的應(yīng)用價(jià)值。人工神經(jīng)網(wǎng)絡(luò)是模擬生物神經(jīng)網(wǎng)絡(luò)進(jìn)行信息處理的一種數(shù)學(xué)模型,誤差反向傳播(BP
2017-12-01 10:07:035

什么是人工神經(jīng)網(wǎng)絡(luò)?有什么特點(diǎn)和應(yīng)用?

人工神經(jīng)網(wǎng)絡(luò)(Artificial Neural Networks,ANNs),也簡(jiǎn)稱為神經(jīng)網(wǎng)絡(luò)(NNs),是模擬生物神經(jīng)網(wǎng)絡(luò)進(jìn)行信息處理的一種數(shù)學(xué)模型。它以對(duì)大腦的生理研究成果為基礎(chǔ),其目的在于
2018-07-13 09:24:0021466

一種隨機(jī)的人工神經(jīng)網(wǎng)絡(luò)學(xué)習(xí)方法

針對(duì)復(fù)雜網(wǎng)絡(luò)環(huán)境下網(wǎng)絡(luò)流監(jiān)測(cè)(分類)問題,為實(shí)現(xiàn)多個(gè)類別直接分類以及提高學(xué)習(xí)方法的訓(xùn)練速度,提出了一種隨機(jī)的人工神經(jīng)網(wǎng)絡(luò)學(xué)習(xí)方法。該方法借鑒平面高斯(PG)神經(jīng)網(wǎng)絡(luò)模型,引入隨機(jī)投影思想,通過計(jì)算
2017-12-05 15:26:100

神經(jīng)網(wǎng)絡(luò)從原理到實(shí)現(xiàn)

神經(jīng)網(wǎng)絡(luò)(neural network,縮寫NN)或類神經(jīng)網(wǎng)絡(luò),是一種模仿生物神經(jīng)網(wǎng)絡(luò)(動(dòng)物的中樞神經(jīng)系統(tǒng),特別是大腦)的結(jié)構(gòu)和功能的數(shù)學(xué)模型或計(jì)算模型,用于對(duì)函數(shù)進(jìn)行估計(jì)或近似。神經(jīng)網(wǎng)絡(luò)由大量的人工
2018-09-18 22:40:01517

一種基于FPGA神經(jīng)網(wǎng)絡(luò)硬件實(shí)現(xiàn)方案詳解

人工神經(jīng)網(wǎng)絡(luò)在智能控制、模式識(shí)別、圖像處理等領(lǐng)域中應(yīng)用廣泛。在進(jìn)行神經(jīng)網(wǎng)絡(luò)的應(yīng)用研究時(shí),人們可以將神經(jīng)網(wǎng)絡(luò)模型或算法在通用的計(jì)算機(jī)上軟件編程實(shí)現(xiàn),但很多時(shí)間浪費(fèi)在分析指令、讀出寫入數(shù)據(jù)等,其實(shí)現(xiàn)效率
2018-09-30 16:14:5513397

人工神經(jīng)網(wǎng)絡(luò)的定義

人工神經(jīng)網(wǎng)絡(luò)( Artificial Neural Networks, 簡(jiǎn)寫為ANNs)也簡(jiǎn)稱為神經(jīng)網(wǎng)絡(luò)或稱作連接模型,是對(duì)人腦或自然神經(jīng)網(wǎng)絡(luò)若干基本特性的抽象和模擬。
2018-11-24 09:21:1114868

如何使用FPGA實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò)硬件的設(shè)計(jì)方法

提出了一種可以靈活適應(yīng)不同的工程應(yīng)用中神經(jīng)網(wǎng)絡(luò)在規(guī)模、拓?fù)浣Y(jié)構(gòu)、傳遞函數(shù)和學(xué)習(xí)算法上的變化,并能及時(shí)根據(jù)市場(chǎng)需求快速建立原型的神經(jīng)網(wǎng)絡(luò)硬件可重構(gòu)實(shí)現(xiàn)方法.對(duì)神經(jīng)網(wǎng)絡(luò)的可重構(gòu)特征進(jìn)行了分析,提出了三種
2021-02-02 17:12:196

如何使用FPGA實(shí)現(xiàn)BP神經(jīng)網(wǎng)絡(luò)的仿真線設(shè)計(jì)

該文提出了一種采用BP神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)仿真線的方法。首先采用遺傳算法優(yōu)化神經(jīng)網(wǎng)絡(luò)結(jié)構(gòu),用離線訓(xùn)練后的BP神經(jīng)網(wǎng)絡(luò)逼近傳輸線的傳遞函數(shù),然后用STAM算法以較少的存儲(chǔ)空間實(shí)現(xiàn)BP神經(jīng)網(wǎng)絡(luò)的激勵(lì)函數(shù)近似
2021-02-03 16:26:0012

基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)硬件實(shí)現(xiàn)

基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)硬件實(shí)現(xiàn)說明。
2021-04-28 11:24:2325

基于不同神經(jīng)網(wǎng)絡(luò)的文本分類方法研究對(duì)比

海量文本分析是實(shí)現(xiàn)大數(shù)據(jù)理解和價(jià)值發(fā)現(xiàn)的重要手段,其中文本分類作為自然語(yǔ)言處理的經(jīng)典問題受到研究者廣泛關(guān)注,而人工神經(jīng)網(wǎng)絡(luò)在文本分析方面的優(yōu)異表現(xiàn)使其成為目前的主要研究方向。在此背景下,介紹卷積
2021-05-13 16:34:3448

基于FPGA神經(jīng)網(wǎng)絡(luò)硬件實(shí)現(xiàn)方法

基于FPGA神經(jīng)網(wǎng)絡(luò)硬件實(shí)現(xiàn)方法說明。
2021-06-01 09:35:1637

嵌入式中的人工神經(jīng)網(wǎng)絡(luò)

人工神經(jīng)網(wǎng)絡(luò)在AI中具有舉足輕重的地位,除了找到最好的神經(jīng)網(wǎng)絡(luò)模型和訓(xùn)練數(shù)據(jù)集之外,人工神經(jīng)網(wǎng)絡(luò)的另一個(gè)挑戰(zhàn)是如何在嵌入式設(shè)備上實(shí)現(xiàn)它,同時(shí)優(yōu)化性能和功率效率。 使用云計(jì)算并不總是一個(gè)選項(xiàng),尤其是
2021-11-04 10:36:0614

人工神經(jīng)網(wǎng)絡(luò)和bp神經(jīng)網(wǎng)絡(luò)的區(qū)別

人工神經(jīng)網(wǎng)絡(luò)和bp神經(jīng)網(wǎng)絡(luò)的區(qū)別? 人工神經(jīng)網(wǎng)絡(luò)(Artificial Neural Network, ANN)是一種模仿人腦神經(jīng)元網(wǎng)絡(luò)結(jié)構(gòu)和功能的計(jì)算模型,也被稱為神經(jīng)網(wǎng)絡(luò)(Neural
2023-08-22 16:45:182941

基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)的硬件實(shí)現(xiàn)

電子發(fā)燒友網(wǎng)站提供《基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)的硬件實(shí)現(xiàn).pdf》資料免費(fèi)下載
2023-10-23 10:21:250

已全部加載完成