電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線(xiàn)課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術(shù)>學(xué)好HDL/PLD/FPGA的參考書(shū)籍推薦

學(xué)好HDL/PLD/FPGA的參考書(shū)籍推薦

12下一頁(yè)全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

FPGA核心知識(shí)詳解(2):FPGA入門(mén)書(shū)籍推薦篇

電子發(fā)燒友網(wǎng)核心提示 :對(duì)于FPGA初學(xué)者而言,正確的入門(mén)參考書(shū)籍對(duì)其至關(guān)重要。應(yīng)廣大FPGA初學(xué)者和愛(ài)好者要求,電子發(fā)燒友網(wǎng)編輯根據(jù)多名在FPGA領(lǐng)域有過(guò)多年工作經(jīng)驗(yàn)的前輩介紹,
2012-11-29 13:34:1768658

為什么說(shuō)學(xué)好FPGA,首先要掌握HDL

薦讀:如何學(xué)習(xí)FPGA 大家好。又到了每日學(xué)習(xí)的時(shí)候了,近期很多人問(wèn)我該如何去學(xué)FPGA,那么今天咱
2018-05-28 09:09:045584

深入理解FPGA Verilog HDL語(yǔ)法(一)

今天給大俠帶來(lái)的是一周掌握FPGA Verilog HDL 語(yǔ)法,今天開(kāi)啟第一天,下面咱們廢話(huà)就不多說(shuō)了,一起來(lái)看看吧。
2022-07-18 09:47:402074

5個(gè)問(wèn)題解答如何學(xué)好FPGA

如何學(xué)好FPGA呢,很多人很困惑,多數(shù)停留在基礎(chǔ)位置徘徊,我就這方面問(wèn)題給大家談幾點(diǎn)自己的看法。  1.基礎(chǔ)問(wèn)題  FPGA的基礎(chǔ)就是數(shù)字電路和HDL語(yǔ)言,想學(xué)好FPGA的人,建議床頭都有一本
2017-03-25 18:44:08

FPGA書(shū)籍合輯

FPGA書(shū)籍合輯,包括軟核演練篇,軟件工具篇,數(shù)字電路篇,項(xiàng)目實(shí)戰(zhàn)篇,學(xué)習(xí)指導(dǎo)篇,硬件語(yǔ)法篇
2016-08-02 22:21:33

FPGA_設(shè)計(jì)參考書(shū)大全(很全哦)

FPGA設(shè)計(jì)參考書(shū),資料很多,但上傳有限制,先傳一點(diǎn)
2016-04-19 15:48:44

FPGA|CPLD|ASIC學(xué)習(xí)書(shū)籍集錦【獨(dú)家奉送】

`學(xué)習(xí)FPGA|CPLD|ASIC必須選擇好的參考書(shū)籍,好的書(shū)籍在知識(shí)的講解上邏輯清晰,編排合理。能夠?qū)W(xué)習(xí)者起到添磚加瓦的作用。一本好的參考書(shū)對(duì)PLD、HDL的初學(xué)者是非常必要的,版主推薦初學(xué)者
2012-02-27 11:31:10

FPGAPLD有什么關(guān)系?如何區(qū)別?

PLD是小規(guī)模集成電路,主要是替代TTL集成電路的可編程邏輯電路FPGA 是大規(guī)模集成電路,它是在PLD、PAL、GAL 、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展而成的?;蛘哒f(shuō)是經(jīng)過(guò)了幾代的升級(jí)產(chǎn)品
2018-08-28 09:15:53

FPGA中SOPC的書(shū)籍

誰(shuí)有FPGA中SOPC的書(shū)籍,現(xiàn)在學(xué)習(xí),請(qǐng)求幫助
2013-10-16 16:48:30

FPGA入門(mén)書(shū)籍有哪些?

對(duì)于FPGA初學(xué)者而言,正確的入門(mén)參考書(shū)籍對(duì)其至關(guān)重要。應(yīng)廣大FPGA初學(xué)者和愛(ài)好者要求,電子發(fā)燒友網(wǎng)編輯根據(jù)多名在FPGA領(lǐng)域有過(guò)多年工作經(jīng)驗(yàn)的前輩介紹,特此為大家整理并分享以下FPGA初學(xué)者適用
2019-09-17 06:11:56

FPGA雙沿發(fā)送之Verilog HDL實(shí)現(xiàn) 精選資料推薦

1.1 FPGA雙沿發(fā)送之Verilog HDL實(shí)現(xiàn)1.1.1 本節(jié)目錄1)本節(jié)目錄;2)本節(jié)引言;3)FPGA簡(jiǎn)介;4)FPGA雙沿發(fā)送之Verilog HDL實(shí)現(xiàn);5)結(jié)束語(yǔ)。1.1.2 本節(jié)
2021-07-26 06:20:59

FPGA工程師必備知識(shí)庫(kù)(二):FPGA入門(mén)書(shū)籍推薦篇

對(duì)于FPGA初學(xué)者而言,正確的入門(mén)參考書(shū)籍對(duì)其至關(guān)重要。應(yīng)廣大FPGA初學(xué)者和愛(ài)好者要求,電子發(fā)燒友網(wǎng)編輯根據(jù)多名在FPGA領(lǐng)域有過(guò)多年工作經(jīng)驗(yàn)的前輩介紹,特此為大家整理并分享以下FPGA初學(xué)者適用
2021-06-29 08:30:00

FPGA設(shè)計(jì)者的5項(xiàng)基本功

。這樣的書(shū)籍,作為工程培訓(xùn)指導(dǎo)書(shū)不行,可以作為某一個(gè)方面進(jìn)階的參考書(shū)。如何使用現(xiàn)有的書(shū)籍進(jìn)行自學(xué),這是后話(huà)。 對(duì)于新入職的員工來(lái)說(shuō),他們往往對(duì)FPGA的整體設(shè)計(jì)流程有了初步認(rèn)識(shí),5項(xiàng)基本功的某幾個(gè)方面
2016-05-16 18:58:47

FPGA設(shè)計(jì)者的5項(xiàng)基本功

。這樣的書(shū)籍,作為工程培訓(xùn)指導(dǎo)書(shū)不行,可以作為某一個(gè)方面進(jìn)階的參考書(shū)。如何使用現(xiàn)有的書(shū)籍進(jìn)行自學(xué),這是后話(huà)。 對(duì)于新入職的員工來(lái)說(shuō),他們往往對(duì)FPGA的整體設(shè)計(jì)流程有了初步認(rèn)識(shí),5項(xiàng)基本功的某幾個(gè)方面
2016-08-26 09:43:58

FPGA設(shè)計(jì)者的5項(xiàng)基本功

。這樣的書(shū)籍,作為工程培訓(xùn)指導(dǎo)書(shū)不行,可以作為某一個(gè)方面進(jìn)階的參考書(shū)。如何使用現(xiàn)有的書(shū)籍進(jìn)行自學(xué),這是后話(huà)。 對(duì)于新入職的員工來(lái)說(shuō),他們往往對(duì)FPGA的整體設(shè)計(jì)流程有了初步認(rèn)識(shí),5項(xiàng)基本功的某幾個(gè)方面
2016-09-14 11:02:13

FPGA高級(jí)編程的書(shū)籍

誰(shuí)有FPGA高級(jí)編程的書(shū)籍,非常感謝,現(xiàn)在遇到了一些問(wèn)題
2013-10-16 16:47:02

PLD/FPGA/CPLDPLD是什么

1.PLD/FPGA/CPLDPLD(Programmable Logic Device):可編程邏輯器件,數(shù)字集成電路半成品,芯片上按照一定的排列方式集成了大量的門(mén)和觸發(fā)器等基本邏輯元件,使用者
2021-07-30 07:26:19

PLD/FPGA的分類(lèi)和使用有哪些?

`PLD/FPGA的分類(lèi)和使用 在PLD/FPGA開(kāi)發(fā)軟件中完成設(shè)計(jì)以后,軟件會(huì)產(chǎn)生一個(gè)最終的編程文件(如 .pof )。如何將編程文件燒到PLD芯片中去呢? 1.對(duì)于基于乘積項(xiàng)
2012-02-27 10:42:53

PLD/可編程邏輯器件的入門(mén)知識(shí)

的license管理與安裝。 通常這些免費(fèi)軟件已經(jīng)能夠滿(mǎn)足一般設(shè)計(jì)的需要,當(dāng)然,要想軟件功能更強(qiáng)大一些,只能購(gòu)買(mǎi)商業(yè)版軟件。 如果您打算使用VHDL或Verilog HDL硬件描述語(yǔ)言來(lái)開(kāi)發(fā)PLD/FPGA
2009-06-20 10:38:05

PLD可編程邏輯器件

,足以滿(mǎn)足設(shè)計(jì)一般的數(shù)字系統(tǒng)的需要。目前常用EEPROM,CPLD,FPGA。 PLA,PAL,GAL是早期的可編程器件,已經(jīng)淘汰。可編程邏輯器件PLD(Programmable Logic Dev...
2021-07-22 09:05:48

PLD在消費(fèi)電子領(lǐng)域的應(yīng)用

作者:張宇清可編程邏輯器件(PLD)的兩種主要類(lèi)型是現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)和復(fù)雜可編程邏輯器件(CPLD)。根據(jù)半導(dǎo)體行業(yè)協(xié)會(huì)提供的數(shù)據(jù),PLD現(xiàn)在是半導(dǎo)體行業(yè)中增長(zhǎng)最快的領(lǐng)域之一,高性能
2019-07-29 08:07:20

PLD在消費(fèi)電子領(lǐng)域的應(yīng)用

可編程邏輯器件(PLD)的兩種主要類(lèi)型是現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)和復(fù)雜可編程邏輯器件(CPLD)。根據(jù)半導(dǎo)體行業(yè)協(xié)會(huì)提供的數(shù)據(jù),PLD現(xiàn)在是半導(dǎo)體行業(yè)中增長(zhǎng)最快的領(lǐng)域之一,高性能PLD現(xiàn)在已經(jīng)從
2019-07-17 07:19:16

PLD在消費(fèi)電子領(lǐng)域的挑戰(zhàn)

可編程邏輯器件(PLD)的兩種主要類(lèi)型是現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)和復(fù)雜可編程邏輯器件(CPLD)。根據(jù)半導(dǎo)體行業(yè)協(xié)會(huì)提供的數(shù)據(jù),PLD現(xiàn)在是半導(dǎo)體行業(yè)中增長(zhǎng)最快的領(lǐng)域之一,高性能PLD現(xiàn)在已經(jīng)從
2019-07-22 06:51:56

學(xué)好FPGA要掌握的五項(xiàng)基本功

設(shè)計(jì)的每一個(gè)方面分開(kāi)介紹,每一方面雖然深入,但是由于缺少其他相關(guān)方面的支持,讀者很難付諸實(shí)踐,只有通讀完全書(shū)才能對(duì)FPGA設(shè)計(jì)獲得一個(gè)整體的認(rèn)識(shí)。這樣的書(shū)籍,作為工程培訓(xùn)指導(dǎo)書(shū)不行,可以作為某一個(gè)方面進(jìn)階的參考書(shū)。如何使用現(xiàn)有的書(shū)籍進(jìn)行自學(xué),這是后話(huà)。
2012-02-28 14:04:13

Verilog HDL 數(shù)字設(shè)計(jì)與綜合第二版,很實(shí)用的入門(mén)書(shū)籍

`Verilog HDL 數(shù)字設(shè)計(jì)與綜合第二版,很實(shí)用的入門(mén)書(shū)籍另外需要明德?lián)P點(diǎn)播視頻教程的可以私聊我`
2018-08-04 11:06:34

Verilog HDL 那些事兒-建模篇-學(xué)FPGA入門(mén)最佳資料

這就是初學(xué) Verilog HDL + FPGA 的心聲。 在眾多的 Verilog HDL 參考書(shū),隱隱約約會(huì)會(huì)出現(xiàn)這樣的一個(gè)“建?!?。建模在 Verilog HDL 的世界里是一個(gè)重要的基礎(chǔ),筆者
2015-01-14 17:48:01

[下載]fpga經(jīng)典教材

,包括DSP算法原理,算法優(yōu)化,以及FPGA的硬件實(shí)現(xiàn),包括完整的VHDL,Verilog HDL代碼。很經(jīng)典的FPGA教程課件電子書(shū)籍。[hide]http://srfitnesspt.com/soft/5/2009/2009060830199.html [/hide]
2009-06-09 00:18:16

[下載]cpld\fpga\verilog hdl視頻教程

  cpld\fpga\verilog hdl視頻教程入門(mén)篇:第1講、FPGA設(shè)計(jì)基礎(chǔ)(PDF、視頻)第2講、FPGA設(shè)計(jì)入門(mén)(視頻、課后習(xí)題)第3講、VerilogHDL
2009-03-26 16:37:40

[推薦]cpld\fpga\verilog hdl視頻教程

教程目錄: 入門(mén)篇:第1講、FPGA設(shè)計(jì)基礎(chǔ)(PDF、視頻)第2講、FPGA設(shè)計(jì)入門(mén)(視頻、課后習(xí)題)第3講、VerilogHDL基礎(chǔ)(PDF、視頻、課后習(xí)題)第4講:Verilog HDL中的組合
2009-03-09 22:56:25

【 很好的FPGA入門(mén)教材 】《 HELLO FPGA 》系列書(shū)籍免費(fèi)下載

】:該篇不僅僅是介紹了Verilog HDL基本概念和語(yǔ)法,更著重講解了Verilog HDL的基本設(shè)計(jì)思想及優(yōu)良的代碼書(shū)寫(xiě)規(guī)范和風(fēng)格。書(shū)籍資料下載地址:[hide]http://www.fpga
2016-07-06 11:52:03

FPGA學(xué)習(xí)教程】第1課.FPGA/PLD新手入門(mén)

FPGA學(xué)習(xí)教程】第1課.FPGA/PLD新手入門(mén)1. 可編程邏輯器件的發(fā)展歷程當(dāng)今社會(huì)是數(shù)字化的社會(huì),是數(shù)字集成電路廣泛應(yīng)用的社會(huì)。數(shù)字集成電路本身在不斷地進(jìn)行更新?lián)Q代。它由早期的電子管、晶體管
2012-02-27 11:52:00

FPGA每周一練】FPGAHDL建模第一周

由淺入深編輯的,只適合做入門(mén)引子。建議初學(xué)者者先從一些權(quán)威的參考書(shū)去了解“什么是Verlilog HDL 語(yǔ)言”,同時(shí)在跟著我們的論壇筆記進(jìn)行練習(xí),以達(dá)到快速理解的目的。FPGA 宛一堆樂(lè)高積
2014-11-19 16:54:25

FPGA每周一練匯總帖】FPGAHDL建模持續(xù)更新

FPGA每周一練匯總帖】FPGAHDL建模持續(xù)更新課程簡(jiǎn)介:這一版的論壇筆記只適合入門(mén)者,因?yàn)檫@論壇筆記按著由淺入深編輯的,只適合做入門(mén)引子。建議初學(xué)者者先從一些權(quán)威的參考書(shū)去了
2014-12-11 13:57:00

參考書(shū)籍】XILINX可編程邏輯器件設(shè)計(jì)技術(shù)詳解—何賓著

31.2.1 層次化設(shè)計(jì)41.2.2 原理圖輸入41.2.3 HDL輸入和綜合51.3 設(shè)計(jì)實(shí)現(xiàn)61.4 設(shè)計(jì)驗(yàn)證81.4.1 仿真91.4.2 靜態(tài)時(shí)序分析121.4.3 電路驗(yàn)證131.5 FPGA
2012-04-24 09:18:46

參考書(shū)籍】Xilinx FPGA開(kāi)發(fā)實(shí)用教程——田耘,徐文波著

可編程邏輯器件的發(fā)展歷史1.1.3 PLD開(kāi)發(fā)工具1.2 FPGA芯片結(jié)構(gòu)1.2.1 FPGA工作原理與簡(jiǎn)介1.2.2 FPGA芯片結(jié)構(gòu)1.2.3 軟核、硬核以及固核的概念1.3 基于FPGA的開(kāi)發(fā)
2012-04-24 09:23:33

【專(zhuān)輯精選】FPGA教程書(shū)籍與設(shè)計(jì)實(shí)例資料

電子發(fā)燒友總結(jié)了以“FPGA”為主題的精選干貨,今后每天一個(gè)主題為一期,希望對(duì)各位有所幫助?。c(diǎn)擊標(biāo)題即可進(jìn)入頁(yè)面下載相關(guān)資料)新手教程書(shū)籍《從零開(kāi)始走進(jìn)FPGA世界》書(shū)籍FPGA開(kāi)發(fā)全攻略電子版
2019-04-29 17:45:39

專(zhuān)家強(qiáng)烈推薦!FPGA初學(xué)者入門(mén)參考書(shū)籍推薦

本帖最后由 ycq654263138 于 2013-1-18 16:58 編輯  電子發(fā)燒友網(wǎng)核心提示:對(duì)于FPGA初學(xué)者而言,正確的入門(mén)參考書(shū)籍對(duì)其至關(guān)重要。應(yīng)廣大FPGA初學(xué)者和愛(ài)好者要求
2012-11-29 16:44:33

大家都來(lái)說(shuō)說(shuō)看——FPGA學(xué)習(xí)書(shū)籍推薦

一種通過(guò)讀取定時(shí)器的寄存器來(lái)計(jì)算時(shí)間。最好的是DMA的實(shí)現(xiàn)說(shuō)明,從3個(gè)方面講述了DMA的使用。Verilog HDL學(xué)習(xí)書(shū)籍 [1] 手把手教你學(xué)CPLD/FPGA與單片機(jī)聯(lián)合設(shè)計(jì)  周興華等編著
2012-03-08 17:14:11

學(xué)習(xí)FPGA需要哪些基礎(chǔ)?怎么學(xué)好FPGA?

習(xí),更主要的是介紹了fpga設(shè)計(jì)軟件的使用,這個(gè)是很重要的。之后就看你自己的方向了,涉及相關(guān)的理論。另外我聽(tīng)說(shuō)國(guó)外上數(shù)電這種課的時(shí)候都會(huì)要求學(xué)生自己設(shè)計(jì)一個(gè)單片機(jī)和相應(yīng)的指令,你也可以利用FPGA做做,參考書(shū)是潘松的現(xiàn)代計(jì)算機(jī)組成原理。
2018-08-24 09:38:29

嵌入式軟件測(cè)試的參考書(shū)籍有哪些?

嵌入式軟件測(cè)試的幾本參考書(shū)籍:1、《嵌入式軟件測(cè)試》;2、《嵌入式軟件測(cè)試 方法、案例與模板詳解》;3、《嵌入式軟件測(cè)試實(shí)用技術(shù)》;4、《嵌入式系統(tǒng)軟件測(cè)試》1、《嵌入式軟件測(cè)試》《嵌入式軟件測(cè)試
2021-10-27 06:50:31

已結(jié)束-【蓋樓送書(shū)NO.10】Verilog HDLFPGA數(shù)字系統(tǒng)設(shè)計(jì) 第2版

和Quartus II軟件的使用方法,讀者可以使用它們仿真和綜合Verilog HDL代碼?!禫erilog HDLFPGA數(shù)字系統(tǒng)設(shè)計(jì)第2版》是作者根據(jù)多年的教學(xué)科研經(jīng)驗(yàn)以及指導(dǎo)學(xué)生參加全國(guó)電子設(shè)計(jì)競(jìng)賽的經(jīng)驗(yàn)
2022-04-19 14:40:04

開(kāi)關(guān)電源設(shè)計(jì)參考書(shū)籍

一本開(kāi)關(guān)電源設(shè)計(jì)參考書(shū)籍,供學(xué)習(xí)。
2017-12-09 10:52:03

怎樣才能學(xué)好fpga???

學(xué)習(xí)fpga兩三個(gè)月了,感覺(jué)自己好像還沒(méi)入門(mén)。最近一個(gè)月基本沒(méi)什么進(jìn)步,好像還是在原地徘徊。怎樣才能學(xué)好fpga,怎樣調(diào)程序,怎樣寫(xiě)testbench,有沒(méi)有具體的步驟,可實(shí)施的操作。希望大家不吝賜教。
2012-07-29 14:41:17

新手求指教---怎樣學(xué)好FPGA

求各位大神,怎樣學(xué)好FPGA呀,求基于FPGA的1602顯示的基本原理。
2013-05-29 13:47:01

是否有推薦的FPGA書(shū)籍

我還是FPGA行業(yè)的新手,我想知道是否有人建議閱讀關(guān)于FPGA開(kāi)發(fā)的書(shū)籍。我有一份我一直在使用的書(shū)籍清單,將在下面列出,但我想增加一些書(shū)籍。在我目前的工作中,我們使用VHDL,但我更喜歡
2019-04-28 13:21:45

最新數(shù)學(xué)建模參考書(shū)籍推薦

)資料室 M O22/L417 模型:選址模型 理論與算法:計(jì)算機(jī)窮舉法、逐次改進(jìn)法、所謂的重心法 最小樹(shù)與最小樹(shù)形圖 (6)參考書(shū)籍:理科閱覽室 O224/X426 模型與理論: 最小樹(shù)模型
2011-07-11 10:27:01

設(shè)計(jì)與驗(yàn)證Verilog HDL FPGA設(shè)計(jì)與驗(yàn)證的好書(shū)

本帖最后由 eehome 于 2013-1-5 10:01 編輯 EDA先鋒工作室的精品書(shū)籍,國(guó)內(nèi)少有的系統(tǒng)講述FPGA設(shè)計(jì)和驗(yàn)證的好書(shū),特別是驗(yàn)證部分很精華,現(xiàn)在和大家分享,同時(shí)附上本書(shū)的實(shí)例源代碼和Verilog HDL語(yǔ)法國(guó)際標(biāo)準(zhǔn)。
2011-08-02 14:54:41

請(qǐng)介紹我一本入門(mén)級(jí)labview參考書(shū)籍,帶介紹信號(hào)采集的

各位帥哥美女,請(qǐng)介紹我一本入門(mén)級(jí)labview參考書(shū)籍,最好帶介紹信號(hào)采集的。
2012-01-31 11:38:30

請(qǐng)問(wèn)有FPGA入門(mén)書(shū)籍推薦嗎?

我想了解下FPGA的硬件內(nèi)部結(jié)構(gòu),有沒(méi)有針對(duì)FPGA內(nèi)部的詳細(xì)硬件介紹的書(shū)籍推薦一下?
2019-05-13 00:09:55

轉(zhuǎn):如何學(xué)好FPGA

如何學(xué)好FPGA呢,很多人很困惑,多數(shù)停留在基礎(chǔ)位置徘徊,我就這方面問(wèn)題給大家談幾點(diǎn)自己的看法?! ?.基礎(chǔ)問(wèn)題  FPGA的基礎(chǔ)就是數(shù)字電路和HDL語(yǔ)言,想學(xué)好FPGA的人,建議床頭都有一本
2011-07-25 09:00:10

馬上找FPGA工程師工作,現(xiàn)在要準(zhǔn)備面試和筆試的內(nèi)容,大家有什么推薦的書(shū)籍或者資料嗎

馬上找FPGA工程師工作,現(xiàn)在要準(zhǔn)備面試和筆試的內(nèi)容,大家有什么推薦的書(shū)籍或者資料嗎。現(xiàn)在我是應(yīng)屆研究生。已經(jīng)讀過(guò)夏宇聞的 《Verilog HDL 入門(mén)》和《Verilog數(shù)字系統(tǒng)設(shè)計(jì)教程》和數(shù)電模電的知識(shí)。請(qǐng)問(wèn)下還有什么相關(guān)資料推薦下?
2015-07-07 09:05:44

用單片機(jī)配置FPGAPLD設(shè)計(jì)技巧

用單片機(jī)配置FPGAPLD設(shè)計(jì)技巧 Configuration/Program Method for Altera Device Configure the FLEX Device You
2008-09-11 09:36:5623

FPGA的編譯之二、Verilog HDL語(yǔ)言的FPGA快速

, part of the Synopsys suiteof synthesis tools. FPGA Compiler II / FPGA Express reads an RTLVerilog HDL model of a discrete electro
2009-07-23 10:01:2979

基于Verilog HDL語(yǔ)言的FPGA設(shè)計(jì)

采用 Verilog HDL 語(yǔ)言在Altera 公司的FPGA 芯片上實(shí)現(xiàn)了RISC_CPU 的關(guān)鍵部件狀態(tài)控制器的設(shè)計(jì),以及在與其它各種數(shù)字邏輯設(shè)計(jì)方法的比較下,顯示出使用Verilog HDL語(yǔ)言的優(yōu)越性.關(guān)鍵詞
2009-08-21 10:50:0569

基于PLDFPGA的頻率與相位測(cè)量系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn)

基于PLDFPGA的頻率與相位測(cè)量系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn):摘 要:本測(cè)量系統(tǒng)由頻率相位測(cè)量?jī)x和DDS 雙路移相信號(hào)發(fā)生器兩部分組成。頻率相位測(cè)量由Altera EPM7128S84 CPLD 完成,雙路移相信號(hào)
2009-09-25 15:50:0330

PLD/FPGA新手入門(mén)知識(shí)

PLD/FPGA新手入門(mén)知識(shí) PLD是可編程邏輯器件(Programable Logic Device)的簡(jiǎn)稱(chēng),FPGA是現(xiàn)場(chǎng)可編程門(mén)陣列(Field Programable Gate Array)的簡(jiǎn)稱(chēng),兩者的功能基本相
2009-06-20 10:31:05896

Verilog HDL語(yǔ)言在FPGA/CPLD開(kāi)發(fā)中的應(yīng)用

摘 要:通過(guò)設(shè)計(jì)實(shí)例詳細(xì)介紹了用Verilog HDL語(yǔ)言開(kāi)發(fā)FPGA/CPLD的方法,并通過(guò)與其他各種輸入方式的比較,顯示出使用Verilog HDL語(yǔ)言的優(yōu)越性。
2009-06-20 11:51:281857

Verilog HDL與VHDL及FPGA的比較分析

Verilog HDL與VHDL及FPGA的比較分析. Verilog HDL優(yōu)點(diǎn):類(lèi)似C語(yǔ)言,上手容易,靈活。大小寫(xiě)敏感。在寫(xiě)激勵(lì)和建模方面有優(yōu)勢(shì)。
2011-01-11 10:45:291182

PLD/FPGA硬件語(yǔ)言設(shè)計(jì)verilog HDL

在我國(guó)使用Verilog HDL的公司比使用VHDL的公司多。從EDA技術(shù)的發(fā)展上看,已出現(xiàn)用于CPLD/FPGA設(shè)計(jì)的硬件C語(yǔ)言編譯軟件,雖然還不成熟,應(yīng)用極少,但它有可能會(huì)成為繼VHDL和Verilog之后,設(shè)計(jì)大規(guī)模CPLD/FPGA的又一種手段。
2011-03-12 11:21:201686

FPGA學(xué)習(xí)及設(shè)計(jì)中的注意事項(xiàng)

學(xué)習(xí)FPGA的注意事項(xiàng),FPGA的基礎(chǔ)就是數(shù)字電路和HDL語(yǔ)言,想學(xué)好FPGA的人,建議床頭都有一本數(shù)字電路的書(shū),不管是哪個(gè)版本的,這個(gè)是基礎(chǔ),多了解也有助于形成硬件設(shè)計(jì)的思想
2011-09-08 17:29:50926

PLD、FPGA優(yōu)秀設(shè)計(jì)的十條戒律

PLD、FPGA優(yōu)秀設(shè)計(jì)的十條戒律, 該文淺顯易懂的介紹了一個(gè)優(yōu)秀設(shè)計(jì)必須考慮的問(wèn)題,給出了設(shè)計(jì)方法和建議。仔細(xì)閱讀和消化本文,對(duì)提高PLD/FPGA設(shè)計(jì)水平大有裨益
2012-01-17 10:32:5961

用VHDL/VerilogHD語(yǔ)言開(kāi)發(fā)PLD/FPGA的完整流程

用 VHDL /VerilogHD語(yǔ)言開(kāi)發(fā)PLD/ FPGA 的完整流程為: 1.文本編輯:用任何文本編輯器都可以進(jìn)行,也可以用專(zhuān)用的HDL編輯環(huán)境。通常VHDL文件保存為.vhd文件,Verilog文件保存為.v文件 2.功能仿真
2012-05-21 12:58:061083

可編程邏輯器件技術(shù)_pld技術(shù)

PLD可分為簡(jiǎn)單PLD和復(fù)雜PLD,其中復(fù)雜PLD又包括CPLD和FPGA。在本專(zhuān)題我們將主要介紹CPLD和FPGA的相關(guān)技術(shù)知識(shí)。
2012-06-16 22:13:38

直線(xiàn)電機(jī)原理與應(yīng)用_經(jīng)典書(shū)籍詳解

直線(xiàn)電機(jī)參考書(shū)籍,直線(xiàn)電機(jī)原理與應(yīng)用 影印PDF。
2016-03-11 09:19:190

學(xué)好FPGA應(yīng)該要具備的知識(shí)

閱讀本文的人群:熟悉數(shù)字電路基本知識(shí)(如加法器、計(jì)數(shù)器、RAM等),熟悉基本的同步電路設(shè)計(jì)方法,熟悉HDL語(yǔ)言,對(duì)FPGA的結(jié)構(gòu)有所了解,對(duì)FPGA設(shè)計(jì)流程比較了解。
2017-02-11 16:17:30995

基于FPGA Verilog-HDL語(yǔ)言的串口設(shè)計(jì)

基于FPGA Verilog-HDL語(yǔ)言的串口設(shè)計(jì)
2017-02-16 00:08:5935

PLDFPGA有什么區(qū)別與聯(lián)系?PLD高速通訊USB轉(zhuǎn)移技術(shù)分析

PLD(Programmable Logic Device)是可編程邏輯器件的總稱(chēng)。早期的PLD多屬于EEPROM或乘積項(xiàng)(Product Term)結(jié)構(gòu)。FPGA(Field
2017-06-19 09:59:133996

PLD/FPGA常用開(kāi)發(fā)軟件System Generator 9.10的免費(fèi)下載

PLD/FPGA 常用開(kāi)發(fā)軟件System Generator 9.10。 業(yè)內(nèi)領(lǐng)先的高級(jí)系統(tǒng)級(jí)FPGA開(kāi)發(fā)高度并行系統(tǒng)。
2017-11-26 11:34:5612

液壓傳動(dòng)看哪本書(shū)好_液壓傳動(dòng)哪本書(shū)全面_液壓傳動(dòng)書(shū)籍推薦排行榜

要想要學(xué)好液壓傳動(dòng)系統(tǒng),選擇一本好的參考書(shū)籍是十分重要的,以下為大家推薦的液壓傳動(dòng)系統(tǒng)書(shū)籍,是綜合網(wǎng)友推薦和各大網(wǎng)站銷(xiāo)量而來(lái),供大家參考。
2018-01-04 10:49:1226732

《一步一步學(xué)PLC編程》全套書(shū)籍的介紹讓你學(xué)好PLC編程

類(lèi)的,它是一門(mén)技術(shù),一門(mén)學(xué)科。所以如果你想學(xué)好PLC, 首先你必須懂電氣,先學(xué)電氣設(shè)計(jì),然后再看一些針對(duì)PLC的書(shū)籍(比如想學(xué)三菱 PLC 就看三菱的PLC書(shū)籍)了解指令。 今天小編給大家
2018-04-14 10:15:1333501

模擬電路書(shū)籍推薦排行榜

想要學(xué)好模擬電路,選擇一本好的參考書(shū)籍是十分重要的,以下為大家推薦的模擬電路書(shū)籍,是綜合網(wǎng)友推薦和各大網(wǎng)站銷(xiāo)量而來(lái),供大家參考。
2018-04-27 17:32:5639377

Verilog HDL作為現(xiàn)在最流行的FPGA開(kāi)發(fā)語(yǔ)言 是入門(mén)的基礎(chǔ)

Verilog HDL作為現(xiàn)在最流行的FPGA開(kāi)發(fā)語(yǔ)言,當(dāng)然是入門(mén)基礎(chǔ)。
2019-02-18 14:47:0010320

如何學(xué)好FPGA

如何學(xué)好FPGA呢,很多人很困惑,多數(shù)停留在基礎(chǔ)位置徘徊,我就這方面問(wèn)題給大家談幾點(diǎn)自己的看法。 1.基礎(chǔ)問(wèn)題 FPGA的基礎(chǔ)就是數(shù)字電路和HDL語(yǔ)言,想學(xué)好FPGA的人,建議床頭都有一本
2019-02-23 15:09:01450

FPGA視頻教程之如何學(xué)好FPGA的視頻教程資料免費(fèi)下載

本文檔詳細(xì)介紹的是如何學(xué)好FPGA的視頻教程資料免費(fèi)下載。
2019-02-28 10:35:0027

數(shù)字設(shè)計(jì)FPGA應(yīng)用:Verilog HDL語(yǔ)言基本結(jié)構(gòu)

本課程以目前流行的Xilinx 7系列FPGA的開(kāi)發(fā)為主線(xiàn),全面講解FPGA的原理及電路設(shè)計(jì)、Verilog HDL語(yǔ)言及VIVADO的應(yīng)用,并循序漸進(jìn)地從組合邏輯、時(shí)序邏輯的開(kāi)發(fā)開(kāi)始,深入到FPGA的基礎(chǔ)應(yīng)用、綜合應(yīng)用和進(jìn)階應(yīng)用。
2019-12-02 07:10:002914

FPGA教程之Verilog HDL掃盲文PDF電子書(shū)免費(fèi)下載

會(huì)翻開(kāi)這本筆記的讀者,估計(jì)你們都受夠了參考書(shū)的“權(quán)威”,即使把厚厚的參考書(shū)都啃完了,發(fā)覺(jué)自己對(duì)Verilog HDL 語(yǔ)言的理解還是“迷迷糊糊”。呵呵,筆者也是過(guò)來(lái)人,筆者當(dāng)然清楚這樣的心情,那種
2019-08-07 17:41:0032

MATLAB的一些使用算法和參考書(shū)籍資料說(shuō)明

本文檔的主要內(nèi)容詳細(xì)介紹的是MATLAB的一些使用算法和參考書(shū)籍資料說(shuō)明。
2019-11-08 11:46:184

嵌入式軟件測(cè)試參考書(shū)籍

嵌入式軟件測(cè)試的幾本參考書(shū)籍:1、《嵌入式軟件測(cè)試》;2、《嵌入式軟件測(cè)試 方法、案例與模板詳解》;3、《嵌入式軟件測(cè)試實(shí)用技術(shù)》;4、《嵌入式系統(tǒng)軟件測(cè)試》1、《嵌入式軟件測(cè)試》 《嵌入式軟件
2021-10-20 12:06:0950

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(1)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(1)
2021-11-18 15:47:480

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(2)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(2)
2021-11-18 15:49:350

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(3)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(3)
2021-11-18 15:51:180

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(4)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(4)
2021-11-18 15:53:200

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(5)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(5)
2021-11-18 15:55:150

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(6)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(6)
2021-11-18 15:58:520

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(7)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(7)
2021-11-18 16:02:100

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(8)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(8)
2021-11-18 16:07:040

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(9)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(9)
2021-11-18 16:18:060

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(10)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(10)
2021-11-18 16:21:030

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(11)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(11)
2021-11-18 16:24:510

SALELF3系列FPGA HDL設(shè)計(jì)庫(kù)指南

電子發(fā)燒友網(wǎng)站提供《SALELF3系列FPGA HDL設(shè)計(jì)庫(kù)指南.pdf》資料免費(fèi)下載
2022-09-27 09:38:410

SALELF 2系列FPGA HDL設(shè)計(jì)庫(kù)指南

電子發(fā)燒友網(wǎng)站提供《SALELF 2系列FPGA HDL設(shè)計(jì)庫(kù)指南.pdf》資料免費(fèi)下載
2022-09-26 15:10:400

怎樣才叫學(xué)好FPGA?

今天在群里有工程師問(wèn)我,怎么才叫**學(xué)會(huì)了**FPGA,怎么才叫**學(xué)好了**FPGA?我相信有很多朋友有類(lèi)似的問(wèn)題,因?yàn)樵诙鄶?shù)人的潛意識(shí)里,FPGA是非常高深莫測(cè)的技術(shù),能夠玩轉(zhuǎn)FPGA的都是大神級(jí)的人物。
2023-03-21 10:54:42380

PLD設(shè)計(jì)工具分析

PLD(Programmable Logic Device)是一種由用戶(hù)根據(jù)需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。目前主要有兩大類(lèi)型:CPLD(Complex PLD)和FPGA(Field Programmable Gate Array)。
2023-04-29 16:46:001117

已全部加載完成