電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>FPGA/ASIC技術>基于Zynq-7000的圖像拼接技術的系統(tǒng)設計構架與實現(xiàn)效果

基于Zynq-7000的圖像拼接技術的系統(tǒng)設計構架與實現(xiàn)效果

123下一頁全文

本文導航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關推薦

賽靈思Zynq-7000 All Programmable SoC獲年度最具潛力新技術獎提名

賽靈思公司宣布,其業(yè)界首款Zynq-7000 All Programmable SoC獲得2012年電子成就獎(ACE Awards)之年度最具潛力新技術獎提名。此次提名是對Zynq-7000 All Programmable SoC團隊成功推出這款芯片的充分肯定。
2013-01-24 09:01:451031

ZYNQ-7000的USB轉JTAG驅動無法使用

ZYNQ-7000的USB轉JTAG驅動無法使用 使用操作系統(tǒng)是win7 64位 有人遇到過這個問題嗎?
2013-07-10 22:06:48

Zynq-7000 AP SoC CLG400 XC7Z010的有效機械性能是什么?

我正在尋找Zynq-7000 AP SoC CLG400 XC7Z010的有效模量,CTE和Tg。使用您的包裝進行SIP的熱機械建模需要此數(shù)據(jù)。我還想知道最大允許結溫是多少。
2020-07-30 08:16:38

Zynq-7000 AP SoC是否具有真正的隨機數(shù)發(fā)生器?

真隨機數(shù)發(fā)生器在安全解決方案中起著重要作用。真正的隨機數(shù)發(fā)生器通常由平臺支持,例如Exynos 5,OMAP 3,4 SoC系列和飛思卡爾i.MX53。我已經(jīng)閱讀了zynq-7000的TRM,但沒有找到隨機數(shù)生成器。 zynq真的不支持RNG嗎?
2020-07-17 14:27:09

Zynq-7000 SoC提供 FPGA 資源

ArduZynq 和 TE0726-03M ZynqBerry SBC 中的 Zynq Z-7010 SoC 的 FPGA 容量存在顯著差異。雖然所有 Zynq-7000 SoC 都采用雙核 Arm
2018-08-31 14:43:05

Zynq-7000是什么?Zynq-7000能干什么?

Zynq-7000是什么?Zynq-7000能干什么?有何作用?
2021-06-30 06:22:55

Zynq-7000板如何與XADC接頭建立輸入連接?

你好, 我試圖通過使用XADC讀取模擬信號。我有Zynq-7000板。我找不到引腳分配文件。要將模擬信號輸入到從vaux0到vaux15的16個通道,我應該如何與XADC接頭建立輸入連接? 非常感謝。 :)
2020-05-07 08:15:58

Zynq-7000設置數(shù)字是什么意思?

我正在使用Zynq-7000,選擇欲望頻率,我知道我應該使用-g ConfigRate,但這些設置數(shù)字是什么意思?例如,默認數(shù)字是3,這意味著頻率是300KHz?謝謝
2020-08-05 13:14:33

Zynq-7000里面ARM和FPGA之間數(shù)據(jù)傳輸是怎么實現(xiàn)

有關Zynq-7000里面ARM和FPGA數(shù)據(jù)傳輸是怎么實現(xiàn)的?求大神解答
2022-07-25 14:42:00

Zynq7000 SoC開發(fā)套件:開創(chuàng)全新的產(chǎn)品設計領域

實現(xiàn)完美結合,以低功耗和低成本等系統(tǒng)優(yōu)勢實現(xiàn)無以倫比的系統(tǒng)性能、靈活性、可擴展性,同時可以加速產(chǎn)品上市進程。 與傳統(tǒng)的 SoC 處理解決方案不同,Zynq-7000 器件的靈活可編程邏輯能實現(xiàn)優(yōu)化
2013-01-28 14:36:33

Zynq Book來襲?。。。。?!

) 的英國學者所著,為您詳細介紹 Xilinx? Zynq?-7000 All Programmable SoC。本書包含了 Zynq-7000 SoC 開發(fā)的方方面面,從硬件到軟件,從理論到實現(xiàn)
2014-09-04 11:37:18

AES-OZ745 OZ745 Zynq-7000 開發(fā)板與套件

站:orihard.taobao.com/?聯(lián)系電話:010-84988569-801***歡迎關注微信公眾號 啊智能時代標簽: 開發(fā)板, AES-OZ745, OZ745, Zynq-7000, 開發(fā)套件
2016-04-18 11:35:17

Vivado HLS視頻庫加速Zynq-7000 All Programmable SoC OpenCV應用

Vivado HLS視頻庫加速Zynq-7000 All Programmable SoC OpenCV應用加入賽靈思免費在線研討會,了解如何在Zynq?-7000 All Programmable
2013-12-30 16:09:34

Xilinx Zynq-7000SOC的相關資料推薦

CPUCPU為Xilinx Zynq-7000SOC,兼容XC7Z035/XC7Z045/XC7Z100,平臺升級能力強,以下為Xilinx Zynq-7000特性參數(shù):TLZ7xH-EasyEVM
2022-01-03 07:50:21

Xilinx Zynq-7000嵌入式系統(tǒng)設計與實現(xiàn)的相關資料分享

今天給大俠帶來簡談Xilinx Zynq-7000嵌入式系統(tǒng)設計與實現(xiàn),話不多說,上貨。Xilinx的ZYNQ系列FPGA是二種看上去對立面的思想的融合,ARM處理器的串行執(zhí)行+FPGA的并行執(zhí)行
2021-11-09 06:43:27

Xilinx Zynq-7000特性參數(shù)

CPUCPU為Xilinx Zynq-7000SOC,兼容XC7Z035/XC7Z045/XC7Z100,平臺升級能力強,以下為Xilinx Zynq-7000特性參數(shù):電源接口和開關采用12V3A
2021-12-30 07:55:37

Xilinx-ZYNQ7000學習筆記

Xilinx-ZYNQ7000系列-學習筆記(3):系統(tǒng)復位與啟動一、復位ZYNQ-7000 SoC系統(tǒng)中的復位可以由硬件、看門狗定時器、JTAG控制器或軟件產(chǎn)生,可用于驅動系統(tǒng)中每個模塊的復位信號
2022-01-25 06:49:13

Xilinx-ZYNQ7000學習筆記

Xilinx-ZYNQ7000系列-學習筆記(3):系統(tǒng)復位與啟動一、復位ZYNQ-7000 SoC系統(tǒng)中的復位可以由硬件、看門狗定時器、JTAG控制器或軟件產(chǎn)生,可用于驅動系統(tǒng)中每個模塊的復位信號
2022-01-25 07:05:36

哪里可以找到正確的Zynq-7000 SoC的詳細寄存器描述?

我正在尋找Zynq-7000 SoC的詳細寄存器描述。我已經(jīng)找到了ug858 TRM和ds187 / ds191,但是,舉一個例子,我仍然無法找到相對重要的slcr.LVL_SHFTR_EN寄存器
2019-11-04 09:19:51

基于Zynq-7000創(chuàng)龍高速數(shù)據(jù)采集處理器

的收發(fā)器,可為多攝像頭駕駛員輔助系統(tǒng)和 4K2K 超高清電視等大量嵌入式應用實現(xiàn)高度差異化的設計。Zynq-7000 SoC 系列集成 ARM 處理器的軟件可編程性與 FPGA 的硬件可編程性,不僅
2018-06-07 15:36:43

基于FPGA的Spartan-7和Zynq-7000可擴展集成電源設計

描述該參考設計是一種可擴展的電源設計,旨在為基于 FPGA 的 Artix-7、Spartan-7 和 Zynq-7000 系列器件供電。此設計接收來自標準直流電源的電力,并通過明確的 Samtec
2019-01-03 13:47:48

如何實現(xiàn)Xilinx Zynq-7000嵌入式系統(tǒng)設計?

如何實現(xiàn)Xilinx Zynq-7000嵌入式系統(tǒng)設計?
2021-12-23 08:53:58

如何為Zynq-7000 soc尋找USB主機驅動程序?

親愛的先生,我們正在為Zynq-7000 soc尋找USB主機驅動程序。請為此提供支持感謝致敬以上來自于谷歌翻譯以下為原文Dear Sir,we are loooking for USB host
2019-04-03 10:28:34

如何利用ZYNQ-7000板子實現(xiàn)電子琴的設計

本次要實現(xiàn)的是利用ZYNQ-7000板子上自帶的16個矩陣鍵盤來實現(xiàn)每按一次發(fā)出一個音符的聲音,從而實現(xiàn)電子琴的效果。一、原理部分:矩陣鍵盤:用8位來存儲4*4的矩陣鍵盤的信息,初始化的值為
2021-12-24 07:48:44

如何在Zedboard zynq-7000上訪問AES加密和SHA哈希?

我們正在使用Zedboard和zynq-7000 SoC。該系統(tǒng)中的PL具有“基于硅的AES / HMAC”引擎,如下所述:http://www.xilinx.com/support
2019-10-08 07:17:17

請問有人用Zynq-7000進行過FPGA邏輯設計嗎?

最近在學習使用時碰到一些麻煩,還望幫助啊。就是想知道如何在Zynq-7000中進行FPGA邏輯設計,產(chǎn)生LTE-A信號,從而輸入到AD9361,搭建成一個mimo軟件無線電平臺。。
2015-04-03 11:03:46

賽靈思Zynq-7000可擴展處理平臺讓編程流程更簡單

賽靈思Zynq-7000可擴展處理平臺(EPP)將雙ARM Cortex-A9 MPCore處理器系統(tǒng)與可編程邏輯和硬IP外設緊密集成在一起,提供了靈活性、可配置性和性能的完美組合。圍繞其剛剛推出
2019-05-16 10:44:42

Zynq-7000 可擴展處理平臺問題解答

Zynq-7000 可擴展處理平臺是包括四款器件的產(chǎn)品系列,該產(chǎn)品系列集基于 ARM® Cortex™-A9 MPCore 處理器的完整片上系統(tǒng) (SoC) 和集成的28nm可編程邏輯為一體。每款器件均為基于處理器的系統(tǒng),能夠在通過可訪問的可編程邏輯重設時即可啟動操作系統(tǒng)
2011-03-03 10:14:261146

Zynq-7000 可擴展處理平臺研究

Zynq-7000系列中的 4 款產(chǎn)品具有完全相同的 ARM 處理系統(tǒng),但是可編程邏輯資源的可擴展性有所不同, 因而適用于不同的應用。
2011-03-09 09:29:231612

賽靈思Zynq-7000 可擴展處理平臺(EPP)

賽靈思Zynq-7000 可擴展處理平臺(EPP)將雙 ARM Cortex-A9 MPCore 處理器系統(tǒng)與可編程邏輯和硬 IP 外設緊密集成在一起,提供了靈活性、可配置性和性能的完美組合。
2011-08-09 10:07:523280

賽靈思Zynq-7000再獲最佳嵌入式系統(tǒng)產(chǎn)品獎及最佳創(chuàng)意理念獎

賽靈思公司Xilinx今天宣布其Zynq-7000可擴展處理平臺(EPP) 榮獲2011年 Elektra歐洲電子產(chǎn)業(yè)獎的年度最佳嵌入式系統(tǒng)產(chǎn)品獎。
2011-12-26 10:12:35832

Xilinx首款可擴展式處理平臺:Zynq-7000

Zynq-7000系列是Xilinx推出的首款可擴展式處理平臺(EPP)。該新型產(chǎn)品將業(yè)界標準ARM雙核Cortex-A9 MPCore處理系統(tǒng)與Xilinx一體化28nm可編程邏輯架構完美整合在一起。
2012-01-26 19:02:251663

xilinx Zynq-7000 EPP產(chǎn)品簡介

The Xilinx Zynq-7000 Extensible Processing Platform (EPP) redefines the possibilities for embedded
2012-01-26 19:11:01101

Xilinx擴大Zynq-7000 All Programmable SoC在可信系統(tǒng)的應用

近日,賽靈思公司(Xilinx)在2012 年ARM技術大會(ARM TechCon 2012)上宣布推出系列解決方案,進一步擴大Zynq-7000 All Programmable SoC在可信系統(tǒng)中的應用,確保其滿足嚴格的安全標準要求。開發(fā)
2012-11-05 13:34:42740

實例詳解:如何利用Zynq-7000的PL和PS進行交互?

本文通過實例詳細解析如何利用Zynq-7000的PL和PS進行交互。實際上,Zynq就是兩大功能塊:雙核Arm的SoC和FPGA。根據(jù)Xilinx提供的手冊,PS: 處理系統(tǒng) (Processing System) , 就是與FPGA無關的A
2012-12-12 13:40:2253205

Xilinx汽車Zynq-7000產(chǎn)品簡介(英文手冊)

XA Zynq-7000 All Programmable SoC 非常適合高級駕駛員輔助系統(tǒng) (ADAS) 的高計算要求。軟/硬件可編程功能相結合,意味著 ADAS 圖像流程中的功能(從感應到環(huán)境特性描述到功能實現(xiàn))都能在
2013-03-21 10:57:36102

Xilinx Zynq-7000助 Mobilicom 實現(xiàn)先進的點對點軟件無線電

賽靈思Zynq-7000系列中的Zynq?-7030 All Programmable SoC,打造了最新MCU-30軟件無線電 (SDR) 產(chǎn)品。
2013-07-22 11:50:271322

Zynq-7000_全可編程片上系統(tǒng)技術參考手冊

Zynq-7000 All Programmable SoC Technical Reference Manual技術參考手冊
2016-01-06 11:38:1092

針對Xilinx Zynq-7000 All Programmable SoC實現(xiàn)了一個圖像化FPGA設計和編程的環(huán)境

的VisualApplet軟件平臺。這套軟件平臺針對Xilinx Zynq-7000 All Programmable SoC實現(xiàn)了一個圖像化FPGA設計和編程的環(huán)境。之后此平臺幾乎顛覆了傳統(tǒng)的嵌入式機器
2017-01-13 14:21:402261

以Xilinx Zynq-7000為例說明設備樹的運用

由于內(nèi)核版本的演變,設備樹成了任何使用較高版本linux系統(tǒng)的設備平臺所必須文件,然國內(nèi)相關技術文檔嚴重不足,本文是國外技術專欄的翻譯,原文鏈接: 本教程是針對Xilinx Zynq-7000設備
2017-11-17 11:14:257752

Zynq-7000系列特征概述

相比較經(jīng)典的FPGA,Zynq-7000系列最大的特點是將處理系統(tǒng)PS和可編程資源PL分離開來,固化了PS系統(tǒng)的存在,實現(xiàn)了真正意義上的SOC(System On Chip)。 1.
2017-11-18 05:11:0118880

Xilinx基于ARM的Zynq-7000Zynq UltraScale+ MPSoC及RFSoC器件是否存在安全漏洞

本文試圖搞清楚在 Xilinx 基于 ARM 的 Zynq-7000Zynq UltraScale+ MPSoC 和 Zynq UltraScale+ RFSoC 器件中是否存在任何漏洞。
2018-06-28 15:53:002492

Zynq-7000 SoC設計指南

Zynq-7000應用處理單元存在于PS內(nèi),包含帶有NEON協(xié)處理器的兩個Cortex-A9處理器。在多處理器配置中,將兩個處理器連接起來共享一個512KB L2高速緩存。 每個處理器是一個高性能、低功耗的核,各自有兩個獨立的32KB L1數(shù)據(jù)高速緩存和指令高速緩存。
2018-03-19 16:40:2745

xilinx公司SoC器件Zynq-7000開發(fā)方案介紹

xilinx公司的Zynq-7000是全編程SoC器件,是系統(tǒng)級集成電路,并具有硬件,軟件和I/O可編程性,可設計更智能化的系統(tǒng),大大地降低BOM成本,NRE成本,設計風險,同時加快產(chǎn)品面市.主要
2018-05-05 14:32:002932

Zynq-7000的應用領域:汽車/通信系統(tǒng)/機器人/控制和儀器/圖像/視頻處理

的FPGA架構使得Xilinx Zynq-7000更加強悍,應用領域更加廣泛。下面將從以下方面介紹Zynq-7000的應用領域:汽車、通信系統(tǒng)、機器人、控制和儀器 、圖像和視頻處理 、醫(yī)藥、工業(yè)控制和許多其他領域。
2018-05-18 07:07:002584

為何要選擇Zynq-7000 All Programmable SoC

Zynq-7000 AP SoC作為業(yè)界第一款SoC產(chǎn)品,完美集成了雙核ARM Cortex-A9處理器與賽靈思28 nm FPGA。本視頻向您展示了Zynq-7000的強大性能,以及豐富的外設支持及開發(fā)工具支持情況,讓您能更快地尋找到Zynq-7000的相關信息和支持資源。
2018-06-05 01:45:004172

賽靈思隆重推出Zynq-7000

賽靈思隆重推出Zynq-7000
2018-06-06 03:45:003874

基于Zynq-7000平臺運行SoftPLC的解決方案

基于Zynq-7000平臺運行SoftPLC的解決方案,集成了KW-SoftPLC,PowerLink實時以太網(wǎng)協(xié)議,Linux操作系統(tǒng),用以快速、精準的實現(xiàn)工業(yè)控制應用
2018-06-05 09:46:005215

基于 Zynq-7000 SoC 的可靠的安全系統(tǒng)

此視頻向您演示了一個高性能自動化應用,該應用是基于Zynq-7000 All Programmable SoC 采用PROFINET IRT協(xié)議的高可靠安全系統(tǒng)。ARM Cortex-A9 在其中負責通信協(xié)議棧,兩個微處理器軟核運行于"Lock-step“模式,提供片上冗余。
2018-06-04 13:46:003282

Xilinx Zynq-7000 EPP Showcased at Embedded World

Xilinx Zynq-7000 EPP Showcased at Embedded World
2018-06-04 13:46:002881

Zynq-7000 AP SoC 在多種應用領域中的演示

Xilinx公司介紹:Zynq-7000 AP SoC 在多種應用領域中的演示。
2018-06-04 13:47:004466

Zynq-7000 AP SoC為您提供業(yè)經(jīng)驗證的高效生產(chǎn)力

除了要最終客戶推出屢獲殊榮的Zynq-7000 AP SoC器件幫助他們在競爭中整整領先一代之外,我們今天還推出了豐富的穩(wěn)健可靠的基礎架構,使Zynq-7000 SoC用戶能夠生產(chǎn)力更高
2018-06-04 13:47:003212

XILINX Zynq-7000, Industrial & Medical Imaging Demos - EW 20

XILINX Zynq-7000, Industrial & Medical Imaging Demos - EW 20
2018-05-25 15:49:002878

Zynq-7000 Extensible Processing Platform in Action

Zynq-7000 Extensible Processing Platform in Action
2018-05-24 16:47:003013

Zynq、FPGA等相關芯片可以運用到那些領域

的FPGA架構使得Xilinx Zynq-7000更加強悍,應用領域更加廣泛。下面將從以下方面介紹Zynq-7000的應用領域:汽車、通信系統(tǒng)、機器人、控制和儀器 、圖像和視頻處理 、醫(yī)藥、工業(yè)控制和許多其他領域。
2018-07-04 14:12:008236

Zynq-7000 SoC生產(chǎn)勘誤項目及應對措施

Zynq-7000 生產(chǎn)勘誤項目是(Xilinx 答復 47916)中所列項目的子集,通常包括由 Xilinx 和 Linux 社區(qū)實現(xiàn)的軟件解決方法應對措施。
2018-07-05 08:38:00931

ZYNQ-7000型產(chǎn)品選擇指南詳細資料免費下載

本文檔的主要內(nèi)容詳細介紹的是ZYNQ-7000型產(chǎn)品選擇指南詳細資料免費下載。
2018-08-09 08:00:0033

關于Zynq-7000 PL端HDMI的顯示控制的性能分析和應用介紹

Zynq-7000 PL端HDMI的顯示控制 Zynq-7000 PS到PL端emio的使用 Vivado 專家文章:Tcl 是什么? Zynq-7000 ARM端MIO的使用 Zynq-7000
2019-09-15 14:57:003305

Zynq-7000可擴展處理平臺的特點及應用介紹

Xilinx處理平臺副總裁Vidya Rajagopalan和ARM物理IP部門技術副總裁Dipesh Patel介紹了Xilinx的Zynq-7000可擴展處理平臺。
2018-11-20 07:07:003318

Zynq-7000 AP SoC ZC702評估套件的特點與應用

Zynq-7000 All Programmable SoC評估套件ZC702簡介使設計人員能夠快速評估Zynq-7000技術,同時通過其可擴展性開發(fā)大多數(shù)應用。
2018-11-20 06:17:003656

Zynq-7000可擴展處理平臺的演示

觀看世界上第一個可擴展處理平臺--Zynq-7000 EPP的演示。
2019-01-02 09:31:002062

Zynq-7000全可編程SoC的性能和功能

Zynq-7000全可編程SoC提供無與倫比的性能和功能
2019-01-21 07:32:003212

Zynq-7000 AP SoC提供業(yè)經(jīng)驗證的IP及參考設計

HLS(高 層次綜合)工具特別感興趣,這是一個非常強大的工具,可以幫助設計者快速地找到Zynq-7000設計架構的平衡點,并開發(fā)出高度優(yōu)化的系統(tǒng).Zynq平臺支持目前最流行的所有軟件設計 環(huán)境,領先競爭對手整整一代發(fā)貨,賽靈思還提供了一整套的業(yè)經(jīng)驗證的IP,設計工具包以及參考設計,以加速客戶的設計,幫
2018-11-30 06:08:002321

適用于Zynq-7000 AP SoC的Windows Embedded Compact 7概述

了解適用于Zynq-7000 All Programmable SoC的Windows Embedded Compact 7板級支持包(BSP)。
2018-11-30 06:06:003214

Xilinx Zynq-7000最新ADAS解決方案的展示

卓越的賽靈思聯(lián)盟成員Xylon展示了他們利用Xilinx Zynq-7000的最新ADAS解決方案。 他們最新的開發(fā)套件logiADAK 3.0汽車駕駛員輔助工具包可實現(xiàn)2D / 3D環(huán)繞視圖
2018-11-26 07:10:003783

使用Zynq-7000 AP SoC進行工業(yè)物聯(lián)網(wǎng)系統(tǒng)的演示

安富利展示了一個集成的工業(yè)物聯(lián)網(wǎng)(IoT)系統(tǒng),集成了Xilinx Zynq-7000 All Programmable SoC上的機器視覺,電機控制和近場通信(NFC)。
2018-11-26 07:00:002840

使用Zynq-7000 All Programmable SoC實現(xiàn)DSP功能的軟件加速

該演示展示了Zynq-7000 All Programmable SoC及其使用NEON引擎或硬件加速來加速軟件的能力。 查看Zynq-7000 SoC的靈活性,以加速軟件和利用......
2018-11-26 06:56:004796

Zynq-7000可擴展處理平臺的展示介紹

Xilinx及其聯(lián)盟成員在Embedded World 2012上展示了Zynq-7000可擴展處理平臺。
2018-11-26 06:42:002330

Zynq-7000 All Programmable SoC的開發(fā)工具概述

了解可用于Zynq-7000 All Programmable SoC的各種開發(fā)工具。 從愿景到部署Xilinx開發(fā)工具解決端到端開發(fā)問題,包括:系統(tǒng)設計,軟件和固件開發(fā)......
2018-11-26 06:38:003032

Zynq-7000 All Programmable SoC器件的I/O標準

了解設計人員在使用Zynq-7000 All Programmable SoC器件時可用的不同I / O,從標準I / O到串行收發(fā)器以及模擬輸入。
2018-11-26 06:36:002547

針對成像和視頻應用開發(fā)的Zynq-7000 All Programmable SoC

Zynq-7000 All Programmable SoC套件簡介,針對成像和視頻應用開發(fā),提供相機和視頻插件。
2018-11-23 06:46:002398

如何使用BootGen為Zynq-7000 AP SoC構建完整的映像

了解如何使用BootGen為Zynq-7000 All Programmable SoC構建完整的映像。 引導映像通常包括第一級引導加載程序,至少一個軟件應用程序和PL的比特流。
2018-11-23 06:58:005211

如何為Zynq-7000 All Programmable SoC制作可引導映像

了解如何構建FSBL,U-boot,Linux并為Zynq-7000 All Programmable SoC制作可引導映像。
2018-11-23 06:55:002470

使用Zynq-7000 All Programmable SoC進行視頻監(jiān)控攝像機演示

使用Zynq-7000 All Programmable SoC的ISD netSeries視頻監(jiān)控攝像機演示
2018-11-23 06:48:002983

Zynq-7000 All Programmable SoC的安全系統(tǒng)

該視頻演示展示了Zynq-7000 All Programmable SoC上具有PROFINET IRT的高可靠性系統(tǒng),適用于高性能自動化應用。
2018-11-23 06:34:002520

Zynq-7000 All Programmable SoC電源管理技術的了解

通過Zynq-7000 AP SoC了解電源管理技術,并了解Zynq Power Demonstration的這些技術。
2018-11-22 06:54:003500

如何使用Zynq-7000 VI進行IP仿真驗證和調(diào)試

本視頻將向您講解如何使用Zynq-7000 VIP(驗證IP)來高效地驗證基于Zynq-7000處理系統(tǒng)的設計。另外,視頻還介紹了如何配置,以及如何使用范例項目進行仿真的實施步驟。
2018-11-22 06:48:003995

ZYNQ-7000如何生成從Flash和SD卡啟動的鏡像文件

ZYNQ-7000如何生成從Flash和SD卡啟動的鏡像文件 將PL與PS部分一起使用,并且通過JTAG下載到板子運行。對于ZYNQ,有多種啟動方式
2018-12-01 08:38:187278

Zynq-7000所有可編程SOC模塊的詳細資料介紹

本文檔的主要內(nèi)容詳細介紹的是Zynq-7000所有可編程SOC模塊的詳細資料介紹。
2019-02-13 17:16:400

Zynq-7000 SOC的產(chǎn)品簡介資料免費下載

?Cortex?-A9處理器與業(yè)界領先的每瓦28nm可編程邏輯性能相集成,實現(xiàn)了超過離散處理器和FPGA系統(tǒng)的功率和性能水平。Zynq-7000系列提供了Dualcore(Zynq-7000設備
2019-02-15 11:52:1420

digilent Cora Z7-10: Zynq-7000 概述

 Digilent Cora Z7是一款隨時可用,低成本且易于嵌入的開發(fā)平臺,圍繞Xilinx功能強大的Zynq-7000全可編程片上系統(tǒng)(APSoC)而設計。 Zynq-7000架構將單核
2019-11-14 15:53:232148

digilent Cora Z7-07S: Zynq-7000 概述

Digilent Cora Z7是一款隨時可用,低成本且易于嵌入的開發(fā)平臺,圍繞Xilinx功能強大的Zynq-7000全可編程片上系統(tǒng)(APSoC)而設計。 Zynq-7000架構將單核
2019-11-14 15:50:531795

digilent Zynq-7000訓練板概述

Zybo(Zynq? Board)是一款資源豐富且易用的嵌入式軟件及數(shù)字電路入門級開發(fā)平臺,該平臺主芯片為Xilinx Zynq-7000系列中的最小型號Z-7010。
2019-11-25 11:42:271363

Zynq-7000 ZING SOM開發(fā)模塊的特點和應用

Zynq-7000 ZING SOM開發(fā)模塊演示,ZingSoM模塊是針對OEM類型和小批量試生產(chǎn)型客戶量身定制的一款Zynq最小系統(tǒng)板,集成了Zynq All Programmable SoC系統(tǒng)所需的常用組件,包括DDR3和Flash,以及千兆以太網(wǎng)卡和USB接口。
2020-07-02 10:20:002517

zynq-7000 SoC產(chǎn)品選型指南

zynq-7000 SoC產(chǎn)品選型指南
2020-12-09 16:15:0112

ZYNQ-7000系列MIO、EMIO、AXI_GPIO接口

ZYNQ-7000系列MIO/EMIO/AXI_GPIO接口
2022-07-25 17:41:582046

ZYNQ-7000系列MIO/EMIO/AXI_GPIO接口

ZYNQ-7000系列MIO/EMIO/AXI_GPIO接口
2021-01-31 06:50:0412

Zynq-7000 SoC數(shù)據(jù)手冊下載

Zynq-7000 SoC數(shù)據(jù)手冊下載
2021-05-21 15:22:4128

Zynq-7000 SoC 啟動鏡像布局

鏡像時的輸入 Zynq-7000 SoC 啟動鏡像布局 Zynq-7000 SoC 上的 bootROM 作為應用處理器單元 (APU) 中運行的首個軟件,可在安全環(huán)境內(nèi)以加密 FSBL 來執(zhí)行。受支持
2021-08-27 14:11:302832

簡談Xilinx Zynq-7000嵌入式系統(tǒng)設計與實現(xiàn)

今天給大俠帶來簡談Xilinx Zynq-7000嵌入式系統(tǒng)設計與實現(xiàn),話不多說,上貨。Xilinx的ZYNQ系列FPGA是二種看上去對立面的思想的融合,ARM處理器的串行執(zhí)行+FPGA的并行執(zhí)行
2021-11-03 19:36:0633

Zynq-7000 SoC的安全啟動應用說明

電子發(fā)燒友網(wǎng)站提供《Zynq-7000 SoC的安全啟動應用說明.pdf》資料免費下載
2023-09-13 11:46:041

Zynq-7000 SoC:嵌入式設計教程

電子發(fā)燒友網(wǎng)站提供《Zynq-7000 SoC:嵌入式設計教程.pdf》資料免費下載
2023-09-13 09:20:033

已全部加載完成