電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>測(cè)量?jī)x表>虛擬儀器>新版LabVIEW FPGA 新增特性詳解及其帶來(lái)的系統(tǒng)效率優(yōu)化

新版LabVIEW FPGA 新增特性詳解及其帶來(lái)的系統(tǒng)效率優(yōu)化

12下一頁(yè)全文

本文導(dǎo)航

  • 第 1 頁(yè):新版LabVIEW FPGA 新增特性詳解及其帶來(lái)的系統(tǒng)效率優(yōu)化
  • 第 2 頁(yè):工具包
收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

新版本的LabVIEW NXG,進(jìn)行更智能的測(cè)試

作為致力于為工程師和科學(xué)家提供解決方案來(lái)應(yīng)對(duì)全球最嚴(yán)峻的工程挑戰(zhàn)的供應(yīng)商,NI今日宣布推出新版LabVIEW NXG,LabVIEW NXG是LabVIEW工程系統(tǒng)設(shè)計(jì)軟件的下一代版本。
2018-02-08 13:43:4913085

FPGA系統(tǒng)功耗瓶頸的突破

的Enpirion的ED8101P0xQI單相數(shù)字控制器,可實(shí)現(xiàn)對(duì)FPGA的多種遠(yuǎn)程監(jiān)視和低功耗特性?! ?.提前規(guī)劃電源樹,整體布局優(yōu)化系統(tǒng)功耗  系統(tǒng)硬件設(shè)計(jì)會(huì)影響設(shè)計(jì)的復(fù)雜程度、周期和成本,因此,盡早規(guī)劃
2018-10-23 16:33:09

FPGA芯片_Gowin器件設(shè)計(jì)優(yōu)化與分析手冊(cè)

  FPGA 設(shè)計(jì)優(yōu)化主要分為編碼風(fēng)格、設(shè)計(jì)規(guī)劃和時(shí)序收斂三大部分,這 些因素直接決定了 FPGA 設(shè)計(jì)的成敗?! 【幋a風(fēng)格直接影響 FPGA 設(shè)計(jì)的實(shí)現(xiàn)并最終影響設(shè)計(jì)的性能。盡管綜合 工具集成
2022-09-29 06:12:02

FPGA設(shè)計(jì)應(yīng)用及優(yōu)化策略有哪些?

EDA技術(shù)具有什么特征?FPGA是什么原理?FPGA設(shè)計(jì)應(yīng)用及優(yōu)化策略基于VHDL的FPGA系統(tǒng)行為級(jí)設(shè)計(jì)
2021-04-15 06:33:58

LabVIEW 2011測(cè)試版軟件

LabVIEW是測(cè)試測(cè)量與控制領(lǐng)域最基本的系統(tǒng)設(shè)計(jì)軟件。它加速問(wèn)題解決效率,提升生產(chǎn)力,并激發(fā)創(chuàng)新。最新版LabVIEW 2011具有多個(gè)最新特性。LabVIEW評(píng)估版提供免費(fèi)試用30天的LabVIEW專業(yè)版 (適用于Windows)。下載:
2011-10-13 11:18:25

LabVIEW 2011評(píng)估版軟件

`LabVIEW是測(cè)試測(cè)量與控制領(lǐng)域最基本的系統(tǒng)設(shè)計(jì)軟件。它加速問(wèn)題解決效率,提升生產(chǎn)力,并激發(fā)創(chuàng)新。最新版LabVIEW 2011具有多個(gè)最新特性。LabVIEW評(píng)估版提供免費(fèi)試用30天的LabVIEW專業(yè)版 (適用于Windows)。`
2011-08-02 15:34:26

LabVIEW FPGA和軟件設(shè)計(jì)射頻儀器帶來(lái)的好處

如何使用NI LabVIEW FPGA來(lái)設(shè)計(jì)和自定義您的射頻儀器,以及通過(guò)軟件設(shè)計(jì)的儀器能為您的測(cè)試系統(tǒng)帶來(lái)的好處。
2019-06-10 07:15:54

LabVIEW FPGA和軟件設(shè)計(jì)射頻儀器的優(yōu)勢(shì)是什么?

如何使用NI LabVIEW FPGA來(lái)設(shè)計(jì)和自定義您的射頻儀器,以及通過(guò)軟件設(shè)計(jì)的儀器能為您的測(cè)試系統(tǒng)帶來(lái)的好處。
2021-05-08 08:49:51

Labview 下建立dll及其使用方法詳解

的功能,不是一般的VI打包生成dll的方法.Labview 下建立dll及其使用方法詳解[hide] [/hide]
2011-12-05 11:09:22

詳解CPLD/FPGA設(shè)計(jì)流程

只要有數(shù)字電路的基礎(chǔ),還是能較容易和快速地學(xué)會(huì)利用 CPLD/FPGA 設(shè)計(jì)數(shù)字系統(tǒng)的。 數(shù)字系統(tǒng)的基本部件比較簡(jiǎn)單,它們是一些與門、或門、非門、觸發(fā)器和多路選擇器等,宏器件是一些加法器、乘法器等
2019-02-28 11:47:32

DevEco Device Tool 3.0 Beta2新版本發(fā)布,新增實(shí)用功能一覽

監(jiān)測(cè)等功能,支持C/C++語(yǔ)言,以插件的形式部署在Visual Studio Code上,支持Windows10 64位或Ubuntu18及以上版本。本次為大家帶來(lái)的是新版本3.0 Beta2,新增三項(xiàng)
2022-01-04 11:35:46

DevEco Studio 3.1 Beta1版本發(fā)布——新增六大關(guān)鍵特性,開發(fā)更高效

智能代碼編輯、端云一體化開發(fā)、低代碼開發(fā)個(gè)性化……六大新增關(guān)鍵特性,開發(fā)更高效,體驗(yàn)更覺(jué)妙!立即點(diǎn)擊鏈接下載,做DevEco Studio 3.1 Beta1版本嘗鮮者!下載鏈接:HUAWEI
2023-02-24 11:22:09

LED光電參數(shù)定義及其詳解

LED光電參數(shù)定義及其詳解
2012-08-17 21:57:45

Linux系統(tǒng)命令及其使用詳解

Linux系統(tǒng)命令及其使用詳解
2012-08-20 13:38:52

Linux內(nèi)核新增的異構(gòu)內(nèi)存管理會(huì)帶來(lái)什么不一樣?

Linux 內(nèi)核新增的異構(gòu)內(nèi)存管理(HMM)會(huì)帶來(lái)什么不一樣?
2021-02-24 07:20:22

MOSFET結(jié)構(gòu)及其工作原理詳解

` 本帖最后由 eehome 于 2013-1-5 09:54 編輯 MOSFET結(jié)構(gòu)及其工作原理詳解`
2012-08-20 17:27:17

NI LabVIEW開發(fā)技巧研討會(huì)不能錯(cuò)過(guò)的5大理由

。這次研討會(huì)旨在幫助工程師更好的了解LabVIEW。 本次研討會(huì)您不能錯(cuò)過(guò)的5大理由:1、 為您講解最新發(fā)布的2014 LabVIEW有哪些新增特性來(lái)幫助您加速開發(fā)2、LabVIEW2014如何幫助
2014-08-06 15:07:01

NI 發(fā)布 LabVIEW 2011,將開發(fā)效率提升至新的境界

和 API,以及新增基于用戶反饋的新特性,我們的主要目的依然是在所有工程環(huán)境中提高應(yīng)用效率?!盝eff KodoskyLabVIEW 的發(fā)明者兼公司創(chuàng)始人之一LabVIEW 2011 使得工程師在多種任務(wù)
2011-08-02 11:46:13

OpenHarmony 3.0 LTS 新增特性功能

內(nèi)容:標(biāo)準(zhǔn)系統(tǒng)新增特性功能用戶程序框架支持服務(wù)能力(ServiceAbility,DataAbility)和線程模型。支持文件安全訪問(wèn),即文件轉(zhuǎn)成URI和解析URI打開文件的能力。支持設(shè)備管理PIN碼
2021-09-30 08:24:08

OpenHarmony 3.1 Release版本關(guān)鍵特性解析——ArkUI框架又有哪些新增能力?

,藍(lán)色模塊是本次新版本 ArkUI 框架的新增/增強(qiáng)能力,它包括:Canvas、OffscreenCanvas、XComponent 組件、Web 組件、鍵盤與鼠標(biāo)以及 eTS 編譯打包與實(shí)時(shí)預(yù)覽能力
2022-05-31 18:05:15

PADS 9.0新增的無(wú)模命令Z 的使用詳解

PADS9.0無(wú)模命令Z的使用PADS 9.0新增的無(wú)模命令Z 的使用詳解本人這兩天,使用9.0,發(fā)現(xiàn)無(wú)模命令Z的強(qiáng)大,欣喜之余,共同分享使用心得有錯(cuò)漏之處,敬請(qǐng)多指點(diǎn).以下命令必須以Z開頭號(hào),也是PADS9.0的一個(gè)新點(diǎn).例:顯示絲印層 輸入 ZSST 命令,就可以了,[hide][/hide]
2009-09-12 10:59:22

TensorFlow優(yōu)化器種類及其用法詳解

如何使用 TensorFlow 的梯度下降優(yōu)化及其變體。按照損失函數(shù)的負(fù)梯度成比例地對(duì)系數(shù)(W 和 b)進(jìn)行更新。根據(jù)訓(xùn)練樣本的大小,有三種梯度下降的變體:Vanilla 梯度下降:在 Vanilla
2020-07-28 14:39:34

openEuler RISC-V 23.03 創(chuàng)新版本亮相:全面提升硬件兼容性和桌面體驗(yàn)

VisionFive 2 ,SG2042 等多款新開發(fā)板的默認(rèn)支持、UKUI,GNOME 等多個(gè)桌面環(huán)境的新增適配、容器及其工具的適配,另外,還默認(rèn)新增 JIT 支持以及針對(duì)性優(yōu)化。鏡像下載鏈接https
2023-04-15 13:55:40

FPGACPLD設(shè)計(jì)工具──Xilinx+ISE使用詳解

本帖最后由 lee_st 于 2017-11-2 15:01 編輯 《FPGACPLD設(shè)計(jì)工具──Xilinx+ISE使用詳解》第 1 章 ISE 系統(tǒng)簡(jiǎn)介
2017-11-02 10:02:32

為Altium Designer新增Xilinx Spartan-6 FPGA支持

Xilinx Spartan-6器件系列的全面支持。電子設(shè)計(jì)人員可針對(duì)首選的FPGA(目前,Altium Designer可支持60多款FPGA產(chǎn)品),或各種備選解決方案,采用AltiumDesigner對(duì)其性能、功耗以及其它設(shè)計(jì)參數(shù)進(jìn)行比全文下載
2010-04-24 10:07:11

為什么要優(yōu)化FPGA功耗?

無(wú)論從微觀到宏觀、從延長(zhǎng)電池壽命到減少全球變暖的溫室效應(yīng)等等,各種不同因素都在迅速推動(dòng)系統(tǒng)設(shè)計(jì)人員關(guān)注節(jié)能問(wèn)題。一項(xiàng)有關(guān)設(shè)計(jì)優(yōu)先考慮事項(xiàng)的最新調(diào)查指出,大部分工程師已把功耗排在首位,或者是將其緊跟在性能、密度和成本之后。在功耗方面,FPGA帶來(lái)了獨(dú)特的挑戰(zhàn)。為什么要設(shè)計(jì)優(yōu)化FPGA功耗?
2019-08-08 07:39:45

什么是NI LabVIEW FPGA硬件新增儀器級(jí)I/O?

美國(guó)國(guó)家儀器有限公司(National Instruments,簡(jiǎn)稱NI)近日針對(duì)PXI平臺(tái),推出了一個(gè)全新的、開放式的、基于FPGA的產(chǎn)品系列。NI FlexRIO系列產(chǎn)品是工業(yè)領(lǐng)域首款成熟商用現(xiàn)成產(chǎn)品,它為工程師們提供了同時(shí)結(jié)合高速、工業(yè)級(jí)I/O和NI LabVIEW FPGA技術(shù)的解決方案。
2019-10-29 07:03:11

什么是基于Spartan-3 FPGA的DSP功能優(yōu)化方案?

本文闡述了Spartan-3 FPGA針對(duì)DSP而優(yōu)化特性,并通過(guò)實(shí)現(xiàn)示例分析了它們?cè)谛阅芎统杀旧系膬?yōu)勢(shì)。
2019-10-18 07:11:35

基于CPLD和FPGA的VHDL語(yǔ)言電路優(yōu)化設(shè)計(jì)

其在設(shè)計(jì)思路和編程風(fēng)格等方面也存在差異,這些差異會(huì)對(duì)系統(tǒng)綜合后的電路整體性能產(chǎn)生重要的影響。在VHDL語(yǔ)言電路優(yōu)化設(shè)計(jì)當(dāng)中,優(yōu)化問(wèn)題主要包括面積優(yōu)化和速度優(yōu)化。面積優(yōu)化是指CPLD/FPGA的資源
2019-06-18 07:45:03

好書分享!《詳解MATLAB圖像函數(shù)及其應(yīng)用》

詳解MATLAB圖像函數(shù)及其應(yīng)用》不錯(cuò)的書!很好!值得一看!
2012-05-29 16:08:56

如何優(yōu)化嵌入式無(wú)線應(yīng)用的可靠性和功率效率?

嵌入式無(wú)線技術(shù)是嵌入式進(jìn)程或系統(tǒng)與無(wú)線通信接口的組合。方興未艾的嵌入式無(wú)線系統(tǒng),正催生出各種新型工業(yè)、商業(yè)和住宅建筑自動(dòng)化應(yīng)用,并且還為消費(fèi)、醫(yī)療和農(nóng)業(yè)系統(tǒng)帶來(lái)了諸多具有新功能和特性豐富的產(chǎn)品。
2019-10-30 07:53:39

如何使用NI LabVIEW FPGA來(lái)設(shè)計(jì)和自定義您的射頻儀器?

如何使用NI LabVIEW FPGA來(lái)設(shè)計(jì)和自定義您的射頻儀器?軟件設(shè)計(jì)儀器為您的測(cè)試系統(tǒng)帶來(lái)了哪些好處?
2021-05-24 06:26:34

嵌入式機(jī)器視覺(jué)系統(tǒng)有什么特性?怎么優(yōu)化?

介紹了基于ARM+DSP架構(gòu)的嵌入式機(jī)器視覺(jué)系統(tǒng)特性,分析了制約嵌入式機(jī)器視覺(jué)系統(tǒng)性能的因素。從操作系統(tǒng)和應(yīng)用程序方面,討論了嵌入式機(jī)器視覺(jué)系統(tǒng)優(yōu)化方案。通過(guò)對(duì)嵌入式Linux內(nèi)核和文件系統(tǒng)進(jìn)行
2020-03-11 06:47:57

建立專屬的LabVIEW FPGA I/O

動(dòng)態(tài)的測(cè)試系統(tǒng),均可透過(guò) FPGA 提供強(qiáng)大的客制功能?! ≡谑褂谜哌M(jìn)行設(shè)計(jì)程式的儀器控制趨勢(shì)中,NI 的工具一直居于領(lǐng)先地位。最新版的 NI LabVIEW FPGA Module 提升了定點(diǎn)功能元件
2019-04-28 10:04:14

新型開關(guān)電源優(yōu)化設(shè)計(jì)與實(shí)例詳解

新型開關(guān)電源優(yōu)化設(shè)計(jì)與實(shí)例詳解---- 內(nèi)容全面,翔實(shí),難得的好書
2012-04-08 17:42:38

星途app新版本強(qiáng)勢(shì)來(lái)襲,單曲循環(huán)無(wú)限制

`星途app新版本強(qiáng)勢(shì)來(lái)襲!星途2.3.0最新版本出現(xiàn)不僅解決以往的bug,還增加多個(gè)功能。下面一起來(lái)看一下app這次新增的功能吧! 1、新增“分筆放款”功能APP2.3.0新版本推出全新功能“分筆
2017-07-17 14:50:29

智能電表設(shè)計(jì)及其原理詳解

智能電表設(shè)計(jì)及其原理詳解
2014-11-12 09:45:48

歐盟新版ERP指令EU2019/2020新增條款

如下幾個(gè)重要更新。(EU) 2021/3411.新增條款:如果在2021-07-01之前,市場(chǎng)上未投放相同產(chǎn)品,那么在2021-07-01至2021-08-31之間,滿足新版ERP指令 (EU
2021-04-02 09:29:09

采用FPGA方案進(jìn)行數(shù)字顯示系統(tǒng)設(shè)計(jì)有什么特性?

SoC面臨的挑戰(zhàn)是什么采用FPGA方案進(jìn)行數(shù)字顯示系統(tǒng)設(shè)計(jì)有什么特性
2021-04-29 06:24:26

美國(guó) FCC 7月起使用新版 FRN 系統(tǒng)

美國(guó) FCC 7月起使用新版 FRN 系統(tǒng) FCC 于5月底發(fā)布通告(DA No. 22-508),宣布2022年7月15日停用舊版FRN注冊(cè)系統(tǒng)CORES (Commission
2022-06-10 17:16:22

優(yōu)化大師最新版V7.93

優(yōu)化大師 V7.93 Build 9.305新增功能及修正1、修正游戲大廳生成無(wú)法完全刪除cookie文件的錯(cuò)誤2、游戲大廳修改為優(yōu)化大師安裝可選項(xiàng)3、磁盤緩存優(yōu)化和桌面菜單優(yōu)化模塊,
2009-04-11 12:16:530

GSM原理及其網(wǎng)絡(luò)優(yōu)化

GSM原理及其網(wǎng)絡(luò)優(yōu)化:主要從GSM原理及網(wǎng)絡(luò)優(yōu)化的角度出發(fā),首先通過(guò)對(duì)GSM移動(dòng)通信系統(tǒng)的組成、優(yōu)化流程、無(wú)線接口理論的介紹使得用戶對(duì)GSM網(wǎng)絡(luò)原理有了一定的理論基礎(chǔ).隨后通
2009-08-21 10:27:290

硬件在環(huán)(HIL)仿真應(yīng)用中的LabVIEW FPGA

硬件在環(huán)(HIL)仿真應(yīng)用中的LabVIEW FPGA:硬件在環(huán)(HIL)仿真可以對(duì)虛擬運(yùn)行環(huán)境中的設(shè)備進(jìn)行非常逼真的模擬。一個(gè)典型的HIL系統(tǒng)包括用于從控制系統(tǒng)接收數(shù)據(jù)的傳感器、用于發(fā)送數(shù)據(jù)的
2009-10-01 19:06:0425

基于LabVIEW的電液伺服閥靜動(dòng)態(tài)CAT系統(tǒng)研究

本文主要研究了基于LabVIEW的電液伺服閥特性CAT系統(tǒng)的結(jié)構(gòu)組成,動(dòng)靜態(tài)特性測(cè)試回路及其相應(yīng)測(cè)試方法,也介紹了采用LabVIEW實(shí)現(xiàn)特性測(cè)試的虛擬儀器設(shè)計(jì)的結(jié)構(gòu)、功能。
2010-03-02 12:20:5570

網(wǎng)絡(luò)講壇:實(shí)時(shí)系統(tǒng)FPGA-labview視頻教程

網(wǎng)絡(luò)講壇:實(shí)時(shí)系統(tǒng)FPGA-labview視頻教程
2010-03-26 16:44:20172

基于LabVIEW的LRC串聯(lián)電路諧振特性的研究

基于LabVIEW的LRC串聯(lián)電路諧振特性的研究 應(yīng)用LabVIEW8.2 軟件進(jìn)行LRC 串聯(lián)電路諧振特性的研究,介紹了虛擬實(shí)驗(yàn)系統(tǒng)的組成以及應(yīng)用系統(tǒng)進(jìn)行實(shí)驗(yàn)仿真的方法,并
2010-03-30 15:44:2363

電機(jī)特性常數(shù)測(cè)試系統(tǒng)

電機(jī)特性常數(shù)測(cè)試系統(tǒng)產(chǎn)品概述:電機(jī)特性常數(shù)測(cè)試系統(tǒng)適用于各種功率電機(jī)測(cè)試,扭矩變化不受轉(zhuǎn)速的影響,因此可以進(jìn)行空載到堵轉(zhuǎn)的全程測(cè)試。實(shí)現(xiàn)電機(jī)的電壓、電流、輸入功率、轉(zhuǎn)速、轉(zhuǎn)矩、輸出功率、效率等進(jìn)行
2023-10-28 13:12:56

基于LABVIEW的音頻功放特性測(cè)試系統(tǒng)

摘要:本文在分析了音頻功放頻率響應(yīng)的基礎(chǔ)上,描述了在LABVIEW平臺(tái)下測(cè)試音頻功放頻率響應(yīng)特性的方法。測(cè)試平臺(tái)以PCI-6251多功能數(shù)據(jù)采集卡為核心,詳細(xì)探討了在LabVIEW中音頻功
2010-12-23 22:00:14192

NI LabVIEW 8.6集成多核與FPGA技術(shù)提高無(wú)線設(shè)

NI LabVIEW 8.6集成多核與FPGA技術(shù)提高無(wú)線設(shè)備測(cè)試速度 最新版本圖形化系統(tǒng)設(shè)計(jì)軟件大大提高射頻測(cè)試吞吐量和靈活性
2008-08-12 08:24:50388

NI LabVIEW 8.6 全面簡(jiǎn)化嵌入式系統(tǒng)原型構(gòu)造與發(fā)

NI LabVIEW 8.6 全面簡(jiǎn)化嵌入式系統(tǒng)原型構(gòu)造與發(fā)布過(guò)程 新版軟件提供最新FPGA和多核IP,支持更多最新基于NI FPGA的硬件產(chǎn)品
2008-08-12 08:26:13520

新版LabVIEW軟件幫助用戶高效使用多核、FPGA、無(wú)線

NI LabVIEW 8.6引領(lǐng)并行技術(shù)時(shí)代 最新版LabVIEW軟件幫助用戶高效使用多核、FPGA、無(wú)線等主流商業(yè)技術(shù)
2008-08-12 08:27:41467

PADS 9.0新增的無(wú)模命令Z 的使用詳解

PADS 9.0新增的無(wú)模命令Z 的使用詳解本人這兩天,使用9.0,發(fā)現(xiàn)無(wú)模命令Z的強(qiáng)大,欣喜之余,共同分享使用心得有錯(cuò)漏之處,敬請(qǐng)多指點(diǎn).以下命令必須以Z開
2009-10-06 10:59:403606

LabVIEW Robotics 2010圖形化系統(tǒng)設(shè)計(jì)軟件

基于最新版NI LabVIEW圖形化系統(tǒng)設(shè)計(jì)軟件,LabVIEW Robotics 環(huán)境繼續(xù)提供工業(yè)級(jí)系統(tǒng)設(shè)計(jì)軟件的能力。結(jié)合LabVIEW Robotics與LabVIEW 2010,新的編譯器技術(shù)和優(yōu)化得以實(shí)現(xiàn)
2011-03-25 12:02:401523

UG編程:優(yōu)化刀路,快速提高加工效率方法#硬聲創(chuàng)作季

優(yōu)化效率UGUG編程
電子學(xué)習(xí)發(fā)布于 2022-11-18 15:23:12

現(xiàn)代FPGA設(shè)計(jì)的能源優(yōu)化方案

減少FPGA的功耗可帶來(lái)許多好處,如提高可靠性、降低冷卻成本、簡(jiǎn)化電源和供電方式、延長(zhǎng)便攜系統(tǒng)的電池壽命等。無(wú)損于性能的低功耗設(shè)計(jì) 既需要有高功率效率FPGA架構(gòu),也需要有
2011-09-08 09:02:361348

LabVIEW 2011新特性視頻:提升應(yīng)用效率

NI LabVIEW 2011是用于測(cè)量和控制領(lǐng)域的最佳系統(tǒng)設(shè)計(jì)軟件,擁有成功實(shí)現(xiàn)各類應(yīng)用所必需的工具。系統(tǒng)結(jié)構(gòu)的簡(jiǎn)化有賴于無(wú)縫集成最新的硬件,包括多核CompactRIO系統(tǒng)、業(yè)內(nèi)最高性能的矢量
2012-02-27 15:07:5393

LabVIEW 2012新特性資源包

LabVIEW2012的最新特性包括提升的穩(wěn)定性、FPGA改進(jìn)、系統(tǒng)仿真、全新分析工具、移動(dòng)應(yīng)用程序、數(shù)據(jù)管理改進(jìn)等,還有在線自學(xué)培訓(xùn)讓您更方便自主地進(jìn)行系統(tǒng)地學(xué)習(xí)。
2012-08-01 15:53:0196

了解LabVIEW FPGA和軟件設(shè)計(jì)射頻儀器的優(yōu)勢(shì)所在

閱讀此文可以幫助您了解如何使用NI LabVIEW FPGA來(lái)設(shè)計(jì)和自定義您的射頻儀器,以及通過(guò)軟件設(shè)計(jì)的儀器能為您的測(cè)試系統(tǒng)帶來(lái)的好處。
2012-10-30 14:52:15964

Linux系統(tǒng)命令及其使用詳解 _120頁(yè)

電子發(fā)燒友網(wǎng)站提供《Linux系統(tǒng)命令及其使用詳解 _120頁(yè).doc》資料免費(fèi)下載
2017-04-18 13:23:003

NI LabVIEW高性能FPGA開發(fā)者指南

簡(jiǎn)介:高性能LabVIEW FPGA應(yīng)用程序?qū)I可重配置I/O(RIO)設(shè)備的性能擴(kuò)展到定時(shí)、FPGA資源、以及其他方面。通過(guò)總結(jié)常用的LabVIEW FPGA優(yōu)化概念和技巧,此指南旨在幫助您創(chuàng)建高性能應(yīng)用程序。更多信息請(qǐng)?jiān)L問(wèn) ni.com/fpga/zhs/
2014-09-29 14:08:353254

NIDays2014之LabVIEW編程技巧專題資源包

簡(jiǎn)介:作為NI全年最大的技術(shù)盛會(huì),本屆會(huì)議LabVIEW編程技巧專題為您介紹LabVIEW中的遠(yuǎn)程監(jiān)控和Web技術(shù)、 LabVIEW FPGA設(shè)計(jì)流程、LabVIEW 2014新特性博覽等。
2017-03-21 18:10:000

LabVIEW Communications系統(tǒng)設(shè)計(jì)套件評(píng)估版

計(jì)至處理器和FPGA。 統(tǒng)一的設(shè)計(jì)流程有助于大幅提高從設(shè)計(jì)到原型這一過(guò)程的效率。更多SDR信息請(qǐng)?jiān)L問(wèn) ni.com/labview-communications/zhs/ .
2015-04-24 18:27:240

LabVIEW串口通信詳解

LabVIEW串口通信詳解,基于LabVIEW來(lái)分析串口通信。
2016-03-15 13:55:20465

LabvIEW與plc串口通訊詳解與分析

LabvIEW與plc串口通訊詳解與分析,PLC工業(yè)通信的分析資料。
2016-03-15 13:52:24214

SVPWM算法優(yōu)化及其FPGA_CPLD實(shí)現(xiàn)

SVPWM算法優(yōu)化及其FPGA_CPLD實(shí)現(xiàn)
2016-04-13 15:42:3518

優(yōu)化SVPWM及其DSP變頻調(diào)速系統(tǒng)的設(shè)計(jì)

優(yōu)化SVPWM及其DSP變頻調(diào)速系統(tǒng)的設(shè)計(jì)
2016-03-30 14:40:3211

[NI技術(shù)]-LabVIEW-FPGA代碼模塊設(shè)計(jì)

[NI技術(shù)]-LabVIEW-FPGA代碼模塊設(shè)計(jì),入門級(jí)資料。
2016-05-17 16:41:5134

通過(guò) labview fpga定制硬件

通過(guò) labview fpga定制硬件。
2016-05-17 17:47:5924

LABVIEW FPGA 的Spartan 3E 板子說(shuō)明

LABVIEW FPGA 的Spartan 3E 板子的聯(lián)系三說(shuō)明。
2016-06-03 16:16:5319

新版LabVIEW Communications推動(dòng)5G的快速原型化

NI宣布推出LabVIEW Communications系統(tǒng)設(shè)計(jì)套件2.0這一個(gè)專門用于無(wú)線通信系統(tǒng)原型開發(fā)的設(shè)計(jì)環(huán)境。 新版本增加了NI Linux Real-Time功能,適用于所有軟件定義無(wú)線電(SDR)產(chǎn)品,包括NI USRP RIO和FlexRIO。
2016-09-06 16:51:261826

磁珠資料--詳解磁珠及其作用

電路教程相關(guān)知識(shí)的資料,關(guān)于磁珠資料--詳解磁珠及其作用
2016-10-10 14:34:310

LED光電參數(shù)定義及其詳解

LED光電參數(shù)定義及其詳解
2017-02-08 00:50:1119

基于LabVIEWFPGA的飛行訓(xùn)練彈測(cè)試系統(tǒng)設(shè)計(jì)_劉克軒

基于LabVIEWFPGA的飛行訓(xùn)練彈測(cè)試系統(tǒng)設(shè)計(jì)_劉克軒
2017-02-07 18:22:066

一文詳解LabVIEW RIO架構(gòu)

NI嵌入式應(yīng)用方法結(jié)合了LabVIEW系統(tǒng)設(shè)計(jì)軟件與可重配置的現(xiàn)成硬件。 該架構(gòu)基于四個(gè)組件: 處理器、可重配置FPGA、測(cè)量I/O硬件和LabVIEW。 硬件和軟件集成的平臺(tái)可以幫助您簡(jiǎn)化諸多行業(yè)和應(yīng)用的系統(tǒng)開發(fā)。 在本文檔的第5部分中,您可以找到教程和示例代碼,初步了解如何利用該架構(gòu)。
2018-07-05 07:21:005518

LabVIEW的最新版本8.6支持從多核處理器到高性能FPGA直至無(wú)線設(shè)備

多核處理器、現(xiàn)場(chǎng)可編程門陣列(FPGA)和無(wú)線通信。使用這些傳統(tǒng)工具利用這些關(guān)鍵技術(shù)并不十分容易;但是,如果在應(yīng)用中使用這些技術(shù),就可以獲得性能更高的系統(tǒng),提高測(cè)量與自動(dòng)化系統(tǒng)的吞吐量,降低成本。LabVIEW的最新版本8.6為您提供了使用下一代并行技術(shù)所需的工具,從多核處理器到高性能FPGA直至無(wú)線設(shè)備。
2017-11-17 20:14:262488

LabVIEW FPGA為NI 9144 帶來(lái)的分布式I/O實(shí)時(shí)部署

的8槽機(jī)箱,與LabVIEW實(shí)時(shí)模塊的強(qiáng)大功能相結(jié)合,為確定性分布式I/O提供了便捷的解決方案。此外,您可以使用LabVIEW FPGA模塊對(duì)NI 9144進(jìn)行編程,建立能夠完成自定義定時(shí)和在線處理的智能分布式設(shè)備。
2017-11-18 01:45:012121

新版LabVIEW FPGA從三個(gè)方面優(yōu)化你的測(cè)試系統(tǒng)設(shè)計(jì)

龐大的IP庫(kù)、高逼真模擬器,以及更加方便的調(diào)試使新型LabVIEW FPGA完美滿足復(fù)雜現(xiàn)代設(shè)備的要求。 從低等待時(shí)間的被測(cè)設(shè)備(DUT)控制一直到高性能信號(hào)處理,測(cè)試系統(tǒng)使用基于FPGA的硬件會(huì)有
2017-11-18 06:25:335617

LabVIEW FPGA開發(fā)PWM接口基礎(chǔ)應(yīng)用教程

可以用來(lái)為測(cè)試測(cè)量系統(tǒng)創(chuàng)建PWM接口。與專用的PWM I/O設(shè)備不同的是,LabVIEW FPGA允許自定義應(yīng)用程序的PWM通道特性和行為,并且可以與其它的測(cè)量設(shè)備集成和同步。 1. 應(yīng)用程序概述 LabVIEW FPGA模塊和可重配置的I/O板卡可以用來(lái)實(shí)現(xiàn)各種自定義接口。
2017-11-18 07:18:219646

xilinxFPGA選型詳解

本文檔內(nèi)容介紹了基于xilinxFPGA選型詳解,供參考查閱
2018-03-15 15:58:014

LabVIEW 2015 (32-bit) 官方軟件下載

LabVIEW 2015破解版是一款專業(yè)的程序開發(fā)環(huán)境,該程序由美國(guó)國(guó)家儀器(NI)公司研制開發(fā),labview采用的是圖形化編程語(yǔ)言,為編開發(fā)人員提供了大大地便利,新版帶來(lái)了全新的性能和優(yōu)化,并新增了部分功能,適用于測(cè)試測(cè)量、控制、仿真等多種領(lǐng)域 。
2018-04-13 16:17:59230

LabVIEW 2013 (64-bit)官方軟件免費(fèi)下載

labview2013由美國(guó)國(guó)家儀器ni公司研制開發(fā)的一款程序開發(fā)環(huán)境,它是NI設(shè)計(jì)平臺(tái)的核心,也是開發(fā)測(cè)量或控制系統(tǒng)的理想選擇。新版本融合前沿技術(shù),新增加了多項(xiàng)實(shí)用功能,包括新增Web服務(wù)器選項(xiàng)
2018-04-13 16:48:15270

LabVIEW 2013 (32-bit)中文版軟件下載

labview2013由美國(guó)國(guó)家儀器ni公司研制開發(fā)的一款程序開發(fā)環(huán)境,它是NI設(shè)計(jì)平臺(tái)的核心,也是開發(fā)測(cè)量或控制系統(tǒng)的理想選擇。新版本融合前沿技術(shù),新增加了多項(xiàng)實(shí)用功能,包括新增Web服務(wù)器選項(xiàng)
2018-04-13 16:55:000

LabVIEW 2015 SP1 (32-bit) 官方軟件免費(fèi)下載

LabVIEW 2015破解版是一款專業(yè)的程序開發(fā)環(huán)境,該程序由美國(guó)國(guó)家儀器(NI)公司研制開發(fā),labview采用的是圖形化編程語(yǔ)言,為編開發(fā)人員提供了大大地便利,新版帶來(lái)了全新的性能和優(yōu)化
2018-04-13 17:06:13265

對(duì)LabVIEW 8.5新特性的全面分析介紹

通過(guò)本教程您將獲得NI LabVIEW8.5新特性的全面解析;用戶請(qǐng)求的特性;對(duì)最新計(jì)算技術(shù)的支持 多核處理器/FPGAs 集成可選的開放方式 全新編程方式/與第三方工具的互聯(lián)
2018-06-25 11:40:002364

LabVIEW FPGA 的重要性及應(yīng)用

內(nèi)容包括:LabVIEW嵌入式產(chǎn)品族,FPGA技術(shù),FPGA系統(tǒng)中的重要性,簡(jiǎn)化的FPGA范例,圖形化FPGA編程,圖形化功能仿真,通信與I/O,商業(yè)現(xiàn)成可用的硬件(COTS),常見應(yīng)用。
2018-06-25 13:01:004317

LabVIEW 網(wǎng)絡(luò)講壇:新增VI和函數(shù)

本篇從更緊密的與計(jì)算機(jī)系統(tǒng)接觸,更強(qiáng)大的數(shù)學(xué)運(yùn)算和信號(hào)處理功能,以及更有效的數(shù)據(jù)表達(dá)三個(gè)方面講解LabVIEW 2009新增的VI和函數(shù)。
2018-06-22 14:29:003274

如何利用Xilinx成本優(yōu)化FPGA和SoC產(chǎn)品組合的最新增強(qiáng)功能

了解如何利用Xilinx成本優(yōu)化FPGA和SoC產(chǎn)品組合的最新增強(qiáng)功能。
2018-11-28 06:20:002086

基于LabVIEW FPGA的3D測(cè)量提高精度

在本次演示中,NI的LabVIEW FPGA用于創(chuàng)建自定義視覺(jué)算法,使用3D非接觸式測(cè)量提高精度。 LabVIEW FPGA還將LabVIEW圖形化開發(fā)平臺(tái)擴(kuò)展到FPGA,使用戶更容易使用
2018-11-22 06:09:004162

NI發(fā)布LabVIEW NXG新特性和功能

的平臺(tái)供應(yīng)商,致力于幫助用戶加速自動(dòng)化測(cè)試和自動(dòng)化測(cè)量系統(tǒng)的開發(fā)和性能,該公司今日宣布推出LabVIEW NXG最新版本,這充分證明了NI對(duì)其下一代LabVIEW工程系統(tǒng)設(shè)計(jì)軟件的持續(xù)投資。 最新版本的LabVIEW NXG簡(jiǎn)化了自動(dòng)化測(cè)試和測(cè)量應(yīng)用中一些最耗時(shí)的任務(wù),如設(shè)置和配置系統(tǒng)、編寫測(cè)試和測(cè)量代碼以及開
2018-12-03 07:42:01502

LabVIEW實(shí)用工具詳解電子版資源下載

LabVIEW實(shí)用工具詳解電子版資源下載
2021-09-12 09:38:460

FPGA-DCM使用詳解

FPGA-DCM使用詳解(通信電源技術(shù)期刊編輯部電話)-該文檔為FPGA-DCM使用詳解文檔,是一份還算不錯(cuò)的參考文檔,感興趣的可以下載看看,,,,,,,,,,,,,,,,
2021-09-28 11:22:176

基于Labview的PID算法詳解

基于labview2018的PPIPID算法詳解不喜歡看文字的可以直接看代碼,更明顯一點(diǎn)單步都分解開演示的
2023-03-16 17:23:315

labview和vb哪個(gè)效率

labview和vb哪個(gè)效率高 隨著科學(xué)技術(shù)的不斷發(fā)展和更新,計(jì)算機(jī)技術(shù)已經(jīng)廣泛應(yīng)用于各個(gè)領(lǐng)域。為了更好地管理和控制各種設(shè)備和系統(tǒng),人們開發(fā)了許多編程工具和軟件,其中包括LabVIEW和VB兩個(gè)軟件
2023-08-31 17:36:23645

FPGA打造新增長(zhǎng)點(diǎn).zip

FPGA打造新增長(zhǎng)點(diǎn)
2023-01-13 09:06:180

已全部加載完成