電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>PLD技術(shù)>賽靈思發(fā)布Vivado設(shè)計(jì)套件2012.3將生產(chǎn)力提升數(shù)倍

賽靈思發(fā)布Vivado設(shè)計(jì)套件2012.3將生產(chǎn)力提升數(shù)倍

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

Vivado Design Suite背景資料

Vivado?設(shè)計(jì)套件是一個(gè)全新的IP和系統(tǒng)中心加速設(shè)計(jì)生產(chǎn)力的設(shè)計(jì)環(huán)境All-Programmable器件的下一個(gè)十年
2017-12-13 09:52:12

Vivado ML(機(jī)器學(xué)習(xí)) 2021嘗鮮 精選資料分享

參考:UG973 (v2021.1)圖:全新 Vivado? ML 版Vivado 2021.1這個(gè)新版本的新增加的一些特:1、在IP這個(gè)層面的功能的增強(qiáng),主要體現(xiàn)在新增加了一個(gè)BD...
2021-07-20 07:06:23

Vivado版本環(huán)境變量不在2016.4中設(shè)置怎么回事

。我打開批處理文件,我希望它在%VIVADO_VER%失敗,因?yàn)闆]有變量,它無法找到下的可執(zhí)行文件C:\\ Vivado \ 2016.4 \ ....我需要為vivado工具和SDK設(shè)置的所有環(huán)境變量是什么?我懷疑這是因?yàn)槲覀兊腎T部門阻止了下載管理器的正常安裝,我們不得不進(jìn)行特殊安裝
2020-05-25 07:41:25

7系列采用FPGA電源模塊

。ROHM與安富利公司共同開發(fā)7系列FPGA及Zynq?–7000 All Programmable SoC的評(píng)估套件Mini-Module Plus 用的電源模塊。安富利公司已經(jīng)開發(fā)出多款
2018-12-04 10:02:08

FPGA原理圖例子之s3astarter

`FPGA原理圖例子之s3astarter 一向是FPGA領(lǐng)域里的領(lǐng)先者,運(yùn)用FPGA需要深入的理解它的工作原理,小編親子整理了s3astarter 的經(jīng)典fpga原理圖分享給電子工程師們。FPGA原理圖例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA對(duì)DLP數(shù)字影院投影儀產(chǎn)生了哪些影響?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP數(shù)字影院投影儀產(chǎn)品,均采用了Virtex?-5 FPGA系列產(chǎn)品。
2019-08-19 07:12:03

FPGA該怎么應(yīng)對(duì)內(nèi)窺鏡系統(tǒng)架構(gòu)的挑戰(zhàn)?

  什么是FPGA?如何幫助內(nèi)窺鏡制造商克服復(fù)雜的設(shè)計(jì)約束,生產(chǎn)出極具競爭優(yōu)勢的產(chǎn)品?如何幫助他們成功構(gòu)建外形小巧的低功耗內(nèi)窺鏡攝像頭、高性價(jià)比的攝像機(jī)控制單元(CCU),以及多功能、低成本的圖像管理設(shè)備?  
2019-09-17 06:31:55

ISE? 設(shè)計(jì)套件11.1版對(duì)FPGA有什么優(yōu)化作用?

每一版本都提供了完整的FPGA設(shè)計(jì)流程,并且專門針對(duì)特定的用戶群體(工程師)和特定領(lǐng)域的設(shè)計(jì)方法及設(shè)計(jì)環(huán)境要求進(jìn)行了優(yōu)化。那大家知道ISE? 設(shè)計(jì)套件11.1版對(duì)FPGA有什么優(yōu)化作用嗎?
2019-07-30 06:52:50

Spartan開發(fā)板使用困境記錄 精選資料分享

Spartan開發(fā)板使用困境記錄原理圖和接口主要是對(duì)照核心板的原理圖,一般的接法就是系列的單片機(jī),連接好電源和下載器,記得預(yù)先安好驅(qū)動(dòng),驅(qū)動(dòng)安裝成功與否能夠在設(shè)備管理器處查看。作者困境
2021-07-13 08:42:10

Verilog(FPGACPLD)設(shè)計(jì)小技巧

Verilog(FPGACPLD)設(shè)計(jì)小技巧
2012-08-19 22:52:02

Virtex-6 HXT FPGA ML630提供參考時(shí)鐘電路圖

Virtex-6 HXT FPGA ML630評(píng)估套件采用SiTime電子發(fā)燒友振具體型號(hào)為:SIT9102AI-243N25E200.0000,而目前針對(duì)這一型號(hào)sitime推出了抖動(dòng)更低
2014-11-17 15:07:35

Zynq-7000可擴(kuò)展處理平臺(tái)讓編程流程更簡單

Zynq-7000可擴(kuò)展處理平臺(tái)(EPP)雙ARM Cortex-A9 MPCore處理器系統(tǒng)與可編程邏輯和硬IP外設(shè)緊密集成在一起,提供了靈活性、可配置性和性能的完美組合。圍繞其剛剛推出
2019-05-16 10:44:42

fpga設(shè)計(jì)比賽火爆進(jìn)行中

fpga設(shè)計(jì)比賽于4月23日上線,得到了廣大電子工程師特別是fpga愛好者的大力關(guān)注和廣泛支持。本次大賽支持個(gè)人報(bào)名和團(tuán)體報(bào)名,其中團(tuán)隊(duì)報(bào)名數(shù)量達(dá)到了20個(gè)團(tuán)隊(duì)。還沒有參加比賽的電子工程師
2012-06-06 14:49:12

公司亞太區(qū)銷售與市場副總裁給XILINX客戶的信

尊敬的客戶朋友們:在此,我謹(jǐn)代表公司與您分享一個(gè)激動(dòng)人心的喜訊: 3 月1 日,公司宣布全球第一片28nmFPGA 芯片(7K325T) 成功量產(chǎn)了!該里程碑式信息的發(fā)布,不僅是
2012-03-22 15:17:12

推出全球最大容量的FPGA – Virtex UltraScale+ VU19P

自適應(yīng)和智能計(jì)算的全球領(lǐng)先企業(yè)公司(Xilinx, Inc.,(NASDAQ:XLNX))今天宣布推出全球最大容量的 FPGA – Virtex UltraScale+ VU19P,從而進(jìn)一步
2020-11-02 08:34:50

有哪幾種ISE設(shè)計(jì)套件配置版本 ?

有哪幾種ISE設(shè)計(jì)套件配置版本 ?
2021-04-30 06:30:50

的DDR3讀寫地址一直重復(fù)怎么辦?

最近在用的DDR3,用的AXi4接口,我寫入的地址是按照突發(fā)長度來的,連續(xù)給8個(gè)讀的地址,但是在DDR3端,dq_addr 一直在1418,1000,1010,0003,0002 等幾個(gè)地址中
2016-06-24 10:38:18

的FPGA用什么開發(fā)工具編程,有沒有大佬分享一下安裝包

的FPGA用什么開發(fā)工具編程,有沒有大佬分享一下安裝包
2018-05-24 17:51:38

高性能40nm Virtex-6 FPGA系列通過全生產(chǎn)驗(yàn)證

【來源】:《電子設(shè)計(jì)工程》2010年02期【摘要】:<正>公司與聯(lián)華電子共同宣布,采用聯(lián)華電子高性能40nm工藝的Virtex-6FPGA,已經(jīng)完全通過生產(chǎn)前的驗(yàn)證
2010-04-24 09:06:05

(XILINX)全新7系列FPGA詳述

(XILINX)全新7系列FPGA詳述
2012-08-14 12:20:22

Cadence新的Allegro平臺(tái)變革下一代PCB設(shè)計(jì)生產(chǎn)力

。所有版本的Allegro PCB設(shè)計(jì)平臺(tái)均包含新的PCB編輯技術(shù),通過降低新方案學(xué)習(xí)曲線和優(yōu)化工具交互,可以提升設(shè)計(jì)師的效率和生產(chǎn)力。 改進(jìn)的設(shè)計(jì)生成和仿真   Allegro平臺(tái)
2008-06-19 09:36:24

FPGA是用altera多還是的多呢

FPGA是用altera多還是的多呢,我買的開發(fā)板是altera的,但是很多人推薦說學(xué)習(xí)的好
2016-01-09 21:27:25

FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)【工程師作品】

FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn),DSP算法是很多工程師在設(shè)計(jì)過程中都會(huì)遇到的問題,本文將從FPGA設(shè)計(jì)的角度來講解浮點(diǎn)DSP算法的實(shí)現(xiàn)。FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)是工程師最新力作,資料不可多得,大家珍惜啊1FPGA設(shè)計(jì)之浮點(diǎn)DSP算法實(shí)現(xiàn)[hide][/hide]
2012-03-01 15:23:56

FPGA設(shè)計(jì)時(shí)序約束指南【工程師力作】

的一條或多條路徑。在 FPGA 設(shè)計(jì)中主要有四種類型的時(shí)序約束:PERIOD、OFFSET IN、OFFSET OUT 以及 FROM: TO(多周期)約束。FPGA設(shè)計(jì)時(shí)序約束指南[hide][/hide]`
2012-03-01 15:08:40

ISE 12設(shè)計(jì)套件創(chuàng)新功能圖文詳解

28nm 架構(gòu)發(fā)布,具有時(shí)鐘門控技術(shù)、部分重配置技術(shù)支持針對(duì)目標(biāo)設(shè)計(jì)平臺(tái)推出ISE11 設(shè)計(jì)套件領(lǐng)域?qū)S梅椒?b class="flag-6" style="color: red">賽重要里程碑事件2009年4月2009年10月2010年3月2010年2月2010年5月ISE12設(shè)計(jì)套件
2012-08-12 12:22:46

ISE 12設(shè)計(jì)套件對(duì)FPGA生產(chǎn)力有什么影響?

公司(Xilinx)最新推出的ISE 12軟件設(shè)計(jì)套件,實(shí)現(xiàn)了具有更高設(shè)計(jì)生產(chǎn)力的功耗和成本的突破性優(yōu)化。ISE 設(shè)計(jì)套件首次利用“智能”時(shí)鐘門控技術(shù),動(dòng)態(tài)功耗降低多達(dá) 30%。
2019-08-20 08:33:19

ISE 12設(shè)計(jì)套件對(duì)FPGA有哪些影響?

公司(Xilinx)最新推出的ISE 12軟件設(shè)計(jì)套件,實(shí)現(xiàn)了具有更高設(shè)計(jì)生產(chǎn)力的功耗和成本的突破性優(yōu)化。ISE 設(shè)計(jì)套件首次利用“智能”時(shí)鐘門控技術(shù),動(dòng)態(tài)功耗降低多達(dá) 30%。
2019-11-08 08:27:56

UltraFast 高層次生產(chǎn)力設(shè)計(jì)方法指南

目錄第1章:高層次生產(chǎn)力設(shè)計(jì)方法指南第2章:系統(tǒng)設(shè)計(jì)第3章:shell開發(fā)第4章:基于C語言的IP開發(fā)第5章:系統(tǒng)集成
2017-12-13 09:50:31

Xilinx UltraScale 系列發(fā)布常見問題匯總

10日發(fā)布什么消息?  今天宣布推出20nm All Programmable UltraScale?產(chǎn)品系列,并配套提供產(chǎn)品技術(shù)文檔和Vivado?設(shè)計(jì)套件支持。繼2013年11月首款20nm
2013-12-17 11:18:00

XilinxFPGA技術(shù)及應(yīng)用線上公開課

` 本帖最后由 MGJOY 于 2017-4-10 15:07 編輯 本周三,4月12日,FPGA技術(shù)及應(yīng)用線上公開課。歡迎大家觀看、學(xué)習(xí)交流~分享主題【FPGA人工智能領(lǐng)域技術(shù)及應(yīng)用】嵌入式視覺領(lǐng)域技術(shù)和解決方案機(jī)器學(xué)習(xí)方面的技術(shù)和解決方案ADAS/自動(dòng)駕駛方面的應(yīng)用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,請(qǐng)聯(lián)系
2019-01-21 19:31:40

chatGPT一種生產(chǎn)力的變革

作家、畫家、程序員等職業(yè)在未來都將被人工智能所取代。 雖然存在這些擔(dān)憂,但人類的創(chuàng)造物終究會(huì)幫助人類自身的發(fā)展,AIGC無疑是一種生產(chǎn)力的變革,世界送入智能創(chuàng)作時(shí)代。在智能創(chuàng)作時(shí)代,創(chuàng)作者生產(chǎn)力提升
2023-04-25 16:04:09

”搶樓活動(dòng)第二輪,中獎(jiǎng)樓層公布!

`{:4_122:}{:4_122:}搶樓啦??!“”搶樓活動(dòng)第二輪中獎(jiǎng)樓層公布號(hào)外號(hào)外{:4_104:}:為了答謝各位壇友們的大力支持,我和我的小伙伴們決定在增加5個(gè)中獎(jiǎng)樓層,讓各位中獎(jiǎng)的幾率
2013-10-11 10:40:34

“看視頻 聊感悟 贏話費(fèi)”搶樓行動(dòng)現(xiàn)在開始!

#是:+感悟內(nèi)容,視為有效貼,否則視為無效,獎(jiǎng)品向下一樓層順延;六、活動(dòng)規(guī)則:1、全論壇用戶均有參與資格,使用大量馬甲和刷帖機(jī)者除外。2、填寫本次搶樓活動(dòng)信息登記表并請(qǐng)認(rèn)真觀看研討會(huì)視頻;3
2013-09-11 19:01:57

“看視頻 聊感悟 送好禮”搶樓行動(dòng)現(xiàn)在開始!

一、活動(dòng)名稱:“研討會(huì)視頻點(diǎn)播”搶樓活動(dòng)二、活動(dòng)口號(hào): “看視頻聊感悟 送好禮”搶樓行動(dòng)現(xiàn)在開始!三、活動(dòng)時(shí)間: 第1輪:9月12日—9月27日四、活動(dòng)禮品:10元話費(fèi)(移動(dòng)、聯(lián)通、電信
2013-09-11 18:53:20

【AD新聞】新CEO訪華繪藍(lán)圖,7nm ACAP平臺(tái)要讓CPU/GPU難企及

/GPU/FPGA三大領(lǐng)域的CEO 董事長Dennis Segers對(duì)Victor Peng的評(píng)價(jià)是,“Victor的獨(dú)特能力在于能夠愿景和戰(zhàn)略轉(zhuǎn)化為一流的執(zhí)行。過去十年來,他一直是創(chuàng)新
2018-03-23 14:31:40

【PYNQ-Z2申請(qǐng)】基于PYNQ-Z2平臺(tái)的圖像實(shí)時(shí)力學(xué)測量

PYNQ-Z2平臺(tái)完善該項(xiàng)目的開源設(shè)計(jì),并進(jìn)一步提升性能。項(xiàng)目計(jì)劃①根據(jù)文檔,對(duì)賽PYNQ-Z2快速入門②通過學(xué)習(xí)PYNQ-Z2的軟件和系統(tǒng),了解實(shí)際應(yīng)用案例,熟悉開發(fā)過程③基于PYNQ-Z2
2019-01-09 14:49:25

【芯】物聯(lián)網(wǎng)|車聯(lián)網(wǎng)開發(fā)平臺(tái)“SIN-iMX6ul”正式發(fā)布

`【芯】物聯(lián)網(wǎng)|車聯(lián)網(wǎng)開發(fā)平臺(tái)“SIN-iMX6ul”正式發(fā)布攻堅(jiān)技術(shù),開源共享,專注于芯專注于芯,天地之,行成于思新的產(chǎn)品,新的體驗(yàn)。"SIN-iMX6ul&
2016-07-23 18:41:25

為什么底噪的好壞關(guān)乎著示波器的生產(chǎn)力

為什么底噪的好壞關(guān)乎著示波器的生產(chǎn)力?
2021-05-10 06:27:07

為什么說已經(jīng)遠(yuǎn)遠(yuǎn)領(lǐng)先于Altera?

Altera和20年來都在FPGA這個(gè)窄眾市場激烈的競爭者,然而Peter Larson基于對(duì)兩個(gè)公司現(xiàn)金流折現(xiàn)法的研究表明,是目前FPGA市場的絕對(duì)領(lǐng)先者。
2019-09-02 06:04:21

什么是豐富目標(biāo)設(shè)計(jì)平臺(tái)?

今年年初,率先在FPGA領(lǐng)域提出目標(biāo)設(shè)計(jì)平臺(tái)概念,旨在通過選用開放的標(biāo)準(zhǔn)、通用的開發(fā)流程以及類似的設(shè)計(jì)環(huán)境,減少通用工作對(duì)設(shè)計(jì)人員時(shí)間的占用,確保他們能集中精力從事創(chuàng)新性的開發(fā)工作。
2019-08-13 07:27:15

使用MATLAB & Simulink Add-on插件面向Versal AI引擎設(shè)計(jì)

MATLAB & Simulink Add-on插件是 ModelComposer 和 System Generator forDSP完美結(jié)合的統(tǒng)一工具。
2021-01-28 06:33:40

哪位大神能提供款的捕捉頻率高于400m,LVDS引腳數(shù)有130個(gè),初學(xué)者請(qǐng)多多指教

哪位大神能提供款的捕捉頻率高于400m,LVDS引腳數(shù)有130個(gè),初學(xué)者請(qǐng)多多指教
2015-08-07 08:58:08

回收Xilinx芯片 收購芯片

回收Xilinx帶板芯片, 回收工廠XILINX系列IC:XC3S1500FGG676EGQ、XC5VLX50-1FFG676、XC5VLX110-1FFG676C
2021-12-17 10:02:19

FPGA中使用ARM及AMBA總線

國外的融合技術(shù)專家展示了一項(xiàng)基于FPGA的數(shù)據(jù)采集系統(tǒng),用于合成孔徑成像技術(shù)。采用了Xilinx ISE設(shè)計(jì)軟件,支持ARM AMBA AXI4接口。文風(fēng)犀利,觀點(diǎn)新穎,F(xiàn)PGA中使用ARM及AMBA總線中不可多得的資料在FPGA中使用ARM及AMBA總線[hide][/hide]
2012-03-01 15:48:17

基于FPGA的EtherCAT主站運(yùn)動(dòng)控制

基于的FPGA的EtherCAT主站總線控制 ,論壇有做運(yùn)動(dòng)控制這方面的技術(shù)嗎?目前我已實(shí)現(xiàn)帶32軸同步運(yùn)行,同步抖動(dòng)±75ns,控制精度125us。感興趣的可以一起探討下
2018-07-23 12:00:39

基于FPGA的卷積神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)設(shè)計(jì)

作者:Nagesh Gupta 創(chuàng)始人兼 CEOAuviz Systems Nagesh@auvizsystems.com憑借出色的性能和功耗指標(biāo), FPGA 成為設(shè)計(jì)人員構(gòu)建卷積神經(jīng)網(wǎng)絡(luò)
2019-06-19 07:24:41

如何使用FPGA加速包處理?

FAST包處理器的核心功能是什么如何使用FPGA加速包處理?
2021-04-30 06:32:20

如何使用ZCU102評(píng)估板來運(yùn)行應(yīng)用

的教程。這些視頻是使用 Vivado? Design Suite 2019.1 版和軟件開發(fā)套件 (SDK) 創(chuàng)建的。其中所含示例均為針對(duì) Zynq? UltraScale+? MPSoC ZCU102 Rev1 評(píng)估板的示例。視頻 1 演示了如何使用 ZCU102 評(píng)估板來運(yùn)行應(yīng)用。雖然大部分視頻都使
2021-12-23 06:53:33

如何利用28納米工藝加速平臺(tái)開發(fā)?

全球可編程邏輯解決方案領(lǐng)導(dǎo)廠商公司 (Xilinx Inc.) 宣布,為推進(jìn)可編程勢在必行之必然趨勢,正對(duì)系統(tǒng)工程師在全球發(fā)布新一代可編程FPGA平臺(tái)。和前代產(chǎn)品相比,全新的平臺(tái)功耗降低
2019-08-09 07:27:00

如何提高FPGA設(shè)計(jì)生產(chǎn)力

影響FPGA設(shè)計(jì)周期生產(chǎn)力的最大因素是什么?如何提高FPGA設(shè)計(jì)生產(chǎn)力
2021-05-06 09:26:04

怎么利用FGPA實(shí)現(xiàn)降采樣FIR濾波器?

怎么利用FGPA實(shí)現(xiàn)降采樣FIR濾波器?這種濾波器在軟件無線電與數(shù)據(jù)采集類應(yīng)用中都很常見。
2019-08-15 08:21:22

提交FPGA設(shè)計(jì)方案,贏取FPGA開發(fā)板

“玩轉(zhuǎn)FPGA:iPad2,開發(fā)板等你拿”活動(dòng)持續(xù)火爆進(jìn)行中……………………活動(dòng)得到了廣大電子工程師積極強(qiáng)烈的支持,為了回報(bào)電子工程師和網(wǎng)站會(huì)員,現(xiàn)在只需提交fpga設(shè)計(jì)方案,就有機(jī)會(huì)獲得
2012-07-06 17:24:41

的開發(fā)環(huán)境ISE軟件下載地址

剛開始學(xué)的FPGA,求他的ISE軟件下載地址,我在網(wǎng)上沒搜到。謝謝了
2012-08-02 09:52:12

海量干貨分享!XDF(開發(fā)者大會(huì))北京站各分論壇演講資料公布

2018年 XDF (開發(fā)者大會(huì))北京站的全部演講內(nèi)容現(xiàn)已開放,現(xiàn)整理供大家下載學(xué)習(xí),以下是本屆 XDF 的各分論壇演講題目與資料。云端分論壇收斂 IO 加速平臺(tái) - Xilinx
2019-01-03 15:19:42

玩轉(zhuǎn)FPGA (xilinx)FPGA設(shè)計(jì)大賽圓滿結(jié)束

  電子發(fā)燒友網(wǎng)訊:由(xilinx)公司和華強(qiáng)PCB網(wǎng)贊助,電子發(fā)燒友網(wǎng)主辦的玩轉(zhuǎn)FPGA,設(shè)計(jì)大賽已經(jīng)圓滿結(jié)束。本活動(dòng)旨在建立一個(gè)FPGA技能展示和技術(shù)交流平臺(tái),鼓勵(lì)廣大參賽者發(fā)揮
2012-09-06 11:52:48

玩轉(zhuǎn)FPGA (xilinx)FPGA設(shè)計(jì)大賽獲獎(jiǎng)名單?。?!

本帖最后由 ycq654263138 于 2012-9-12 10:12 編輯   電子發(fā)燒友網(wǎng)訊:由(xilinx)公司和華強(qiáng)PCB網(wǎng)贊助,電子發(fā)燒友網(wǎng)主辦的玩轉(zhuǎn)FPGA,
2012-09-06 11:54:16

玩轉(zhuǎn)FPGA,FPGA設(shè)計(jì)大賽開賽啦

經(jīng)歷過和牛人一起進(jìn)行FPGA設(shè)計(jì)比賽的激烈競爭嗎?你感受過FPGA原廠開發(fā)板和fpga行業(yè)泰斗直接帶來的強(qiáng)烈震撼嗎? 沒經(jīng)歷過沒關(guān)系,電子發(fā)燒友網(wǎng)主辦,贊助的“FPGA方案開發(fā)設(shè)計(jì)大賽”已經(jīng)為
2012-04-23 09:31:16

玩轉(zhuǎn)FPGA,FPGA設(shè)計(jì)大賽活動(dòng)細(xì)則,參賽必看

:設(shè)計(jì)作品必須用到FPGA器件,具體型號(hào)不限制。作品評(píng)選:參加者需每周在論壇FPGA板塊發(fā)表設(shè)計(jì)進(jìn)程貼,最終評(píng)選根據(jù)參賽者發(fā)表的作品貼進(jìn)行評(píng)審。每周發(fā)布進(jìn)程貼及與會(huì)員互動(dòng)交流會(huì)有加分哦。參賽者可將
2012-04-24 14:40:58

詳解All Programmable Smarter Vision解決方案

詳解All Programmable Smarter Vision解決方案
2021-06-02 06:56:12

請(qǐng)問如何基于ZC706和AD9361平臺(tái)驗(yàn)***PSK調(diào)制解調(diào)?

Vivado中實(shí)現(xiàn)了QPSK的調(diào)制解調(diào),并仿真通過,現(xiàn)在需要進(jìn)行實(shí)際的驗(yàn)證,開發(fā)板是塞的ZC706,AD是AD9361。之前在Matlab中有一個(gè)例子,如果接觸過的朋友們應(yīng)該知道,就是關(guān)于
2018-08-21 10:14:29

這顆是限制料還是翻新料?

絲印查不到系列型號(hào),引腳數(shù)量也對(duì)不上所有型號(hào)規(guī)格,也沒有韓國產(chǎn)地
2023-02-24 17:01:32

追求性能提升 使用8GB HBM2顯存

季度內(nèi)?! PGA芯片這兩年大熱,廠商對(duì)性能的追求也提升了,繼Altera之后(Xilinx)公司現(xiàn)在也宣布推出基于HBM 2顯存的Virtex UltraScale+系列FPGA芯片,該芯片
2016-12-07 15:54:22

選擇(Xilinx)FPGA 7系列芯片的N個(gè)理由

  電子發(fā)燒友網(wǎng)訊:FPGA 7系列芯片正以燎原之勢席卷整個(gè)行業(yè)。在本文,電子發(fā)燒友網(wǎng)小編將帶領(lǐng)大家一起走近Xilinx的FPGA 7系列芯片,從全新FPGA 7系列芯片的介紹、芯片優(yōu)點(diǎn)、芯片
2012-09-06 16:24:35

采用FPGA實(shí)現(xiàn)DisplayPort詳細(xì)教程【內(nèi)部資料】

一些芯片制造商已針對(duì)上述應(yīng)用推出了現(xiàn)成的標(biāo)準(zhǔn)發(fā)送器和接收機(jī),而推出了名為 Xilinx LogiCORETMDisplayPort v1.1(v1.2 將在 IDS 12.1中配套提供
2012-03-01 11:10:18

高價(jià)回收系列IC

高價(jià)回收系列IC長期回收系列IC,高價(jià)求購系列IC。深圳帝歐長期回收ic電子料,帝歐趙生***QQ1816233102/879821252郵箱dealic@163.com。帝歐回收
2021-04-06 18:07:50

:“玩轉(zhuǎn)FPGA (xilinx)FPGA設(shè)計(jì)大賽”獲獎(jiǎng)獎(jiǎng)品展示

  電子發(fā)燒友網(wǎng)訊:由(xilinx)公司和華強(qiáng)PCB網(wǎng)贊助,電子發(fā)燒友網(wǎng)主辦的玩轉(zhuǎn)FPGA,設(shè)計(jì)大賽已經(jīng)圓滿結(jié)束。本活動(dòng)獲獎(jiǎng)名單已經(jīng)公布,詳見:玩轉(zhuǎn)FPGA (xilinx
2012-09-06 14:33:50

790.被并入AMD對(duì)中國FPGA廠商有什么意義?

fpga
小凡發(fā)布于 2022-10-05 02:52:44

賽靈思vivado設(shè)計(jì)套件助你實(shí)現(xiàn)FPGA完美開發(fā)

2012年4月25日全球可編程平臺(tái)領(lǐng)導(dǎo)廠商賽靈思公司全球公開發(fā)布vivado設(shè)計(jì)套件。新的工具套件面向未來十年 “All Programmable”器件而精心打造, 致力于加速其設(shè)計(jì)生產(chǎn)力。
2012-04-25 15:50:441773

Xilinx推出Vivado設(shè)計(jì)套件HLx版 為主流系統(tǒng)及平臺(tái)設(shè)計(jì)人員帶來超高生產(chǎn)力

HLx 版本均包括帶有 C/C++ 庫的 Vivado 高層次綜合 (HLS)、Vivado IP 集成器 (IPI)、LogicCORE? IP 子系統(tǒng)以及完整的 Vivado 實(shí)現(xiàn)工具套件,使主流用戶能夠方便地采用生產(chǎn)力最高、最
2017-02-08 19:35:06386

Vivado設(shè)計(jì)套件的快速入門視頻輔導(dǎo)資料

Vivado?? 設(shè)計(jì)套件快速入門視頻輔導(dǎo)資料為您提高生產(chǎn)力提供了實(shí)時(shí)的特定功能和流程培訓(xùn)。新主題包括: . ?? 使用 ?System Generator for DSP? 和 ?IP
2017-02-09 02:22:12256

vivado設(shè)計(jì)套件資料

vivado設(shè)計(jì)套件資料
2017-10-31 09:49:0343

賽靈思Vivado設(shè)計(jì)套件震撼登場

Vivado設(shè)計(jì)套件終于震撼登場,賽靈思采用先進(jìn)的 EDA技術(shù)和方法,提供了全新的工具套件,可顯著提高設(shè)計(jì)生產(chǎn)力和設(shè)計(jì)結(jié)果質(zhì)量,使設(shè)計(jì)者更好、更快地創(chuàng)建系統(tǒng),而且所用的芯片更少。
2017-11-24 16:24:011667

賽靈思推出Vivado設(shè)計(jì)套件HLx版本,助力SoC和FPGA以及打造可復(fù)用的平臺(tái)

子系統(tǒng)以及完整的 Vivado 實(shí)現(xiàn)工具套件,使主流用戶能夠方便地采用生產(chǎn)力最高、最先進(jìn)的C 語言和 IP設(shè)計(jì)流程。結(jié)合最新 UltraFast 高級(jí)生產(chǎn)力設(shè)計(jì)方法指南,相比采用傳統(tǒng)方法而言,用戶可將生產(chǎn)力提升 10-15 倍。全新HLx 版本將作為 Vivado 設(shè)計(jì)套件的免費(fèi)升級(jí)版提供。
2018-08-17 11:43:002677

Xilinx發(fā)布唯一SoC增強(qiáng)型Vivado設(shè)計(jì)套件,可大大提高生產(chǎn)力

All Programmable SoC 的生產(chǎn)力帶來重大突破。伴隨此款最新版Vivado 設(shè)計(jì)套件推出的還包括其內(nèi)含的 Vivado 高層次綜合(HLS)和IP集成器的增強(qiáng)功能,以及最新性能監(jiān)控
2018-09-06 16:07:001466

Vivado設(shè)計(jì)套件用戶:使用Vivado IDE的指南

電子發(fā)燒友網(wǎng)站提供《Vivado設(shè)計(jì)套件用戶:使用Vivado IDE的指南.pdf》資料免費(fèi)下載
2023-09-13 15:25:363

新質(zhì)生產(chǎn)力哪些行業(yè)發(fā)展最好 如何提升新質(zhì)生產(chǎn)力

新質(zhì)生產(chǎn)力的發(fā)展主要集中在新能源、新材料、先進(jìn)制造、電子信息等戰(zhàn)略性新興產(chǎn)業(yè)。 新質(zhì)生產(chǎn)力作為先進(jìn)生產(chǎn)力的具體體現(xiàn)形式,是馬克思主義生產(chǎn)力理論的中國創(chuàng)新和實(shí)踐,是科技創(chuàng)新交叉融合突破所產(chǎn)生的根本性
2024-02-22 17:57:592059

已全部加載完成