電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>基于FPGA器件和仿真軟件實(shí)現(xiàn)智能交通燈系統(tǒng)的設(shè)計(jì)

基于FPGA器件和仿真軟件實(shí)現(xiàn)智能交通燈系統(tǒng)的設(shè)計(jì)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

51單片機(jī)交通燈是由哪些部分組成的

51單片機(jī)交通燈(十字路口演示),包括緊急情況本項(xiàng)目中采用單片機(jī) AT89C52為中心器件來設(shè)計(jì)交通信號(hào)燈控制器, 系統(tǒng)實(shí)用性強(qiáng)、操作簡(jiǎn)單、擴(kuò)展性強(qiáng)。本設(shè)計(jì)系統(tǒng)就是由單片機(jī)最小系統(tǒng)、交通燈狀態(tài)顯示
2021-07-14 06:37:28

FPGA/CPLD交通燈最小系統(tǒng)可以用哪一個(gè)芯片,謝謝。

如題,FPGA/CPLD交通燈最小系統(tǒng)可以用哪一個(gè)芯片,謝謝
2016-04-19 10:25:14

交通燈仿真

本帖最后由 eehome 于 2013-1-5 09:52 編輯 交通燈
2012-11-29 16:16:06

交通燈仿真

本帖最后由 eehome 于 2013-1-5 09:54 編輯 交通燈仿真
2012-11-23 18:36:18

交通燈仿真

不錯(cuò)的交通燈仿真,與大家分享
2016-07-10 17:18:26

交通燈仿真電路圖

` 本帖最后由 gk320830 于 2015-3-5 07:53 編輯 這是交通燈仿真電路,做數(shù)電實(shí)驗(yàn)時(shí)應(yīng)該會(huì)用到的,絕對(duì)正確的奧。。。`
2012-06-26 19:14:42

交通燈智能控制系統(tǒng)的設(shè)計(jì)

經(jīng)濟(jì)的。硬件框圖如下:  電路原理圖 [PDF]  4、軟件流程圖    圖5:交通燈控制系統(tǒng)流程圖  5、交通燈控制系統(tǒng)軟件  ORG 0000H  LJMP MAIN  ORG 0100H
2020-07-16 10:54:09

交通燈Proteus仿真和c程序下載

一個(gè)普通的交通燈交通燈.rar 2015-6-16 14:52 上傳 點(diǎn)擊文件名下載附件 53.39 KB, 下載次數(shù): 92 簡(jiǎn)單的交通燈
2018-07-19 05:03:10

交通燈labview的實(shí)現(xiàn)

交通燈labview的實(shí)現(xiàn)
2013-05-16 22:22:32

交通燈verilog

FPGA交通燈verilog
2013-06-11 14:09:01

交通燈——求大神指導(dǎo)

求大神指導(dǎo)關(guān)于MAX7219驅(qū)動(dòng)模塊的程序如何編寫設(shè)計(jì)遙控交通燈控制系統(tǒng)系統(tǒng)能夠實(shí)現(xiàn)交通指示燈的功能,通過數(shù)碼管指示剩余時(shí)間,其相關(guān)信息同時(shí)在LCD上顯示,且兼有時(shí)鐘日歷功能。裝置可通過遙控器實(shí)現(xiàn)
2017-03-26 12:05:33

交通燈控制系統(tǒng) 畢業(yè)設(shè)計(jì),求破。求程序和仿真.有報(bào)酬

交通燈控制系統(tǒng) 畢業(yè)設(shè)計(jì),求破。求程序和仿真
2016-04-07 01:28:54

交通燈模擬系統(tǒng)

大家快來看看這設(shè)計(jì)的交通燈系統(tǒng)
2015-09-12 21:42:14

交通燈求助

交通燈中紅燈和綠燈時(shí)間控制.紅燈和綠燈的時(shí)間不同分別是20s和25s.
2012-12-10 21:16:13

交通燈的小作業(yè)

本帖最后由 eehome 于 2013-1-5 10:11 編輯 這片內(nèi)容是我自己做的比較簡(jiǎn)單的基于FPGA交通燈
2012-11-22 22:36:21

交通燈設(shè)計(jì)

怎么設(shè)計(jì)交通燈設(shè)計(jì)
2013-12-26 19:45:50

交通燈設(shè)計(jì) multisim不能仿真

做一個(gè)簡(jiǎn)易的交通燈 可是multisim不能仿真
2014-10-11 21:06:45

交通燈該如何焊接?

交通燈如何焊接
2019-04-03 07:56:08

交通燈車輛檢測(cè)系統(tǒng)

`交通燈控制系統(tǒng)實(shí)現(xiàn)紅綠燈、人行道以及左轉(zhuǎn)彎和右轉(zhuǎn)彎控制,并且根據(jù)檢測(cè)的車輛流量自動(dòng)調(diào)整通行時(shí)間`
2014-06-06 17:50:48

交通燈(含程序、PCB、仿真圖) 實(shí)踐作品

上個(gè)學(xué)期末做的實(shí)踐作品,拿來和大家分享下。交通燈(含程序、PCB、仿真圖)
2013-02-08 17:14:12

仿真交通燈

仿真交通燈PCB打樣找華強(qiáng) http://www.hqpcb.com/3 樣板2天出貨
2012-09-06 16:19:56

智能交通燈控制系統(tǒng)

智能交通燈控制系統(tǒng)要求能根據(jù)車流量調(diào)整紅綠燈時(shí)間,這里需要用到傳感器嗎?如果經(jīng)過簡(jiǎn)單的處理,好像可以不用傳感器,但是要用到比較復(fù)雜的算術(shù)運(yùn)算程序,請(qǐng)問有沒有人有這方面的資料?。恐x謝!
2013-03-26 12:20:07

智能交通燈控制系統(tǒng)

基于51單片機(jī)的智能交通燈控制系統(tǒng)仿真,含有程序和PROTEUSW仿真文件!
2014-05-07 15:32:33

智能交通燈FPGA實(shí)現(xiàn)

小弟要做智能交通燈FPGA實(shí)現(xiàn),哪位大哥能提供點(diǎn)參考代碼啊要求實(shí)現(xiàn)1、初始狀態(tài)下水平方向1、2號(hào)路口綠燈5s,數(shù)碼管顯示秒數(shù)變化。5秒后兩路口垂直方向綠燈,5秒。 2、通過車輛數(shù)由實(shí)驗(yàn)箱上鍵盤輸入
2009-01-16 13:01:11

智能交通燈設(shè)計(jì)資料

智能交通燈設(shè)計(jì) Pretus仿真 keil編程
2013-09-16 16:07:05

智能交通燈設(shè)計(jì)附帶PROTEUS仿真及源程序

智能交通燈畢業(yè)設(shè)計(jì)
2014-05-21 22:24:33

ACTEL FPGA 交通燈(Verilog描述)

本帖最后由 mingzhezhang 于 2012-5-23 19:27 編輯 ACTEL FPGA 交通燈(Verilog描述)代碼
2012-05-23 19:20:25

C語言控制實(shí)現(xiàn)仿真交通燈設(shè)計(jì)

的講解主要圍繞C語言實(shí)現(xiàn)仿真交通燈,重點(diǎn)講解C語言編程部分。從C語言的變量、C語言的循環(huán)、再到C語言的數(shù)組以完成帶數(shù)碼管顯示的交通燈為目標(biāo)逐個(gè)學(xué)習(xí)知識(shí)點(diǎn)。
2011-03-08 16:53:16

LED模擬交通燈仿真有程序

LED模擬交通燈仿真有程序 可以看看
2016-04-03 11:14:20

labview交通燈怎樣加DAQ

用labview設(shè)計(jì)交通燈,在仿真完后怎樣把程序和NI ELVIS那個(gè)面包板連接。怎樣在程序中加DAQ。。使交通燈程序運(yùn)行時(shí),那面包板上的紅綠燈也跟著亮。。。。求高手指點(diǎn)。。謝謝
2013-05-16 13:23:39

multisim 交通燈仿真

交通燈仿真實(shí)驗(yàn)
2014-07-23 11:01:43

【HarmonyOS HiSpark Wi-Fi IoT HarmonyOS 智能家居套件試用 】幼兒教具--智能交通燈(人體紅外檢測(cè)+模擬交通等)

項(xiàng)目名稱:幼兒教具--智能交通燈(人體紅外檢測(cè)+模擬交通等)試用計(jì)劃:申請(qǐng)理由:基于人體紅外檢測(cè)檢測(cè),進(jìn)行智能控制交通燈,通過演示對(duì)幼兒進(jìn)行交通安全知識(shí)的進(jìn)行教學(xué)培訓(xùn)項(xiàng)目名稱:幼兒教具--智能交通燈
2020-10-29 14:34:57

【labview課程設(shè)計(jì)】基于labview的交通燈模擬系統(tǒng)

。程序特點(diǎn):這款交通燈模擬系統(tǒng)基于labview軟件開發(fā),界面全部自己設(shè)計(jì),簡(jiǎn)潔明了。在以往單純的紅綠交替變化功能上添加了倒計(jì)時(shí)功能和燈光閃爍功能,并且配備有操作板可以人為設(shè)置各路口紅綠燈的亮滅時(shí)間
2011-12-31 11:17:20

上海交通燈仿真(基于Multisim)

本帖最后由 efans_c575a5 于 2020-1-31 19:57 編輯 仿真一下我家門口的交通燈:綠燈常亮20秒后開始閃爍,10秒后熄滅。然后黃燈亮起,2秒后黃燈熄滅,紅燈亮起。紅燈常亮22秒后開始閃爍。10秒時(shí)紅燈熄滅,綠燈亮起。
2020-01-31 19:56:25

哪位大神有proteus仿真8086和8255設(shè)計(jì)的交通燈系統(tǒng)呀?。。。???

哪位大神有proteus仿真8086和8255設(shè)計(jì)的交通燈系統(tǒng)呀!?。????
2016-01-09 13:18:05

基于51單片機(jī)的交通燈

基于51單片機(jī)的交通燈 可以實(shí)現(xiàn)交通燈的控制時(shí)間 緊急停止按鈕等。。。。本人畢業(yè)設(shè)計(jì)的成功過哦附帶程序
2013-07-18 18:38:42

基于51的交通燈Proteus仿真設(shè)計(jì)資料分享

目錄運(yùn)行環(huán)境仿真圖像特點(diǎn)軟件硬件實(shí)現(xiàn)注意:部分代碼交通燈邏輯處理部分代碼HC595發(fā)送代碼運(yùn)行環(huán)境keil5,proteus8.5仿真圖像特點(diǎn)這個(gè)設(shè)計(jì)相比較其他設(shè)計(jì)而言,使用了五個(gè)74HC595芯片
2022-01-17 08:56:44

基于FPGA技術(shù)的交通燈控制系統(tǒng)設(shè)計(jì)

本帖最后由 eehome 于 2013-1-5 09:45 編輯 基于FPGA技術(shù)的交通燈控制系統(tǒng)設(shè)計(jì)
2012-08-19 23:19:17

基于FPGA交通燈智能控制系統(tǒng)

十字路口的交通燈有緊急暫停可以用4*4矩陣鍵盤輸入
2019-04-28 20:20:18

基于EWB軟件交通燈控制器仿真

基于EWB軟件交通燈控制器仿真一、實(shí)驗(yàn)?zāi)康?. 掌握EWB5.0的基本操作方法。2. 熟悉各種常用MSI時(shí)序邏輯電路功能和使用方法;掌握多片MSI時(shí)序邏輯電路級(jí)聯(lián)和功能擴(kuò)展技術(shù);學(xué)會(huì)MSI數(shù)字電路
2009-10-11 08:50:56

基于VHDL怎么實(shí)現(xiàn)交通燈控制器?

應(yīng)用VHDL語言設(shè)計(jì)數(shù)字系統(tǒng),大部分設(shè)計(jì)工作可在計(jì)算機(jī)上完成,從而縮短系統(tǒng)開發(fā)時(shí)間,提高工作效率。下面介紹基于VHDL設(shè)計(jì)交通燈控制器的一種方案,并給出源程序和仿真結(jié)果。
2019-10-18 07:21:51

基于labview的交通燈設(shè)計(jì)

`求用labview做十字路口的交通燈要有倒計(jì)時(shí)能改時(shí)間能手動(dòng)控制交通燈 類似于這個(gè)`
2015-06-01 10:00:09

基于proteus的交通燈控制電路設(shè)計(jì)

交通燈控制電路設(shè)計(jì),一起來了解下吧。城市道路錯(cuò)綜復(fù)雜,相互交錯(cuò),交通燈是城市交通的重要指揮系統(tǒng)交通信號(hào)燈作為管制交通流量、提高道路通行能力的有效手段,對(duì)減少交通事故有明顯效果。但是車流量是隨時(shí)變化的,...
2022-02-18 06:55:23

基于單片機(jī)AT89C51的交通燈系統(tǒng)設(shè)計(jì)

簡(jiǎn)介本此課程設(shè)計(jì)交通燈系統(tǒng)利用單片機(jī)AT89C51作為核心元件,實(shí)現(xiàn)了通過信號(hào)燈對(duì)路面狀況的智能控制。系統(tǒng)具有結(jié)構(gòu)簡(jiǎn)單、可靠性高、成本低、實(shí)時(shí)性好、安裝維護(hù)方便等優(yōu)點(diǎn),有廣泛的應(yīng)用前景。交通燈控制器
2021-07-15 07:23:54

基于單片機(jī)的交通燈控制系統(tǒng)設(shè)計(jì)

顏色(紅、黃、綠)的發(fā)光二極管模擬交通燈;有開機(jī)顯示狀態(tài)(如顯示888888);5. 在完成基本設(shè)計(jì)功能的基礎(chǔ)上可以另加其他功能。 軟件設(shè)計(jì): 1)主程序設(shè)計(jì) 2)各功能子程序設(shè)計(jì)仿真圖,程序見附件這個(gè)程序 仿真的時(shí)候數(shù)碼管不計(jì)數(shù),而且紅綠燈不亮,只有人行橫道的
2018-07-04 17:23:44

基于單片機(jī)的交通燈設(shè)計(jì)

。本系統(tǒng)采用MSC-51系列單片機(jī)ATSC51和可編程并行I/O接口芯片8255A為中心器件來設(shè)計(jì)交通燈控制器,實(shí)現(xiàn)了能根據(jù)實(shí)際車流量通過8051芯片的P1口設(shè)置紅、綠燈燃亮?xí)r間的功能;紅綠燈循環(huán)點(diǎn)亮
2009-11-26 16:47:17

基于單片機(jī)的交通燈設(shè)計(jì)

單片機(jī)交通燈課程設(shè)計(jì)單片機(jī)交通燈設(shè)計(jì)(含箭頭指示)大家好,我是Alex,這篇文章是我做課程設(shè)計(jì)總結(jié)后想拿出來跟大家分享。我在完成交通燈的基本顯示后,我對(duì)任務(wù)提出了更高的要求,增加了交通燈箭頭顯示
2021-12-02 06:57:08

基于單片機(jī)的智能交通燈系統(tǒng)的設(shè)計(jì)

基于單片機(jī)的智能交通燈系統(tǒng)的設(shè)計(jì)
2013-04-20 08:35:09

基于實(shí)時(shí)圖像處理的智能交通燈控制

本帖最后由 mr.pengyongche 于 2013-4-30 03:22 編輯 基于實(shí)時(shí)圖像處理的智能交通燈控制
2013-03-18 15:12:33

如何利用51單片機(jī)實(shí)現(xiàn)交通燈的設(shè)計(jì)?

如何利用51單片機(jī)實(shí)現(xiàn)交通燈的設(shè)計(jì)?
2021-10-18 08:15:22

如何利用FPGA設(shè)計(jì)實(shí)用的交通信號(hào)燈控制系統(tǒng)

本文在EDA技術(shù)的基礎(chǔ)上,利用FPGA的相關(guān)知識(shí)設(shè)計(jì)了交通燈控制系統(tǒng),可以根據(jù)實(shí)際情況對(duì)亮?xí)r間進(jìn)行自由調(diào)整,整個(gè)設(shè)計(jì)系統(tǒng)通過Max+PlusⅡ軟件進(jìn)行了模擬仿真,并下載到FPGA器件中進(jìn)行硬件的調(diào)試,驗(yàn)證了設(shè)計(jì)的交通信號(hào)燈控制電路完全可以實(shí)現(xiàn)預(yù)定的功能,具有一定的實(shí)用性。
2021-04-29 06:29:33

如何利用ATmega48單片機(jī)實(shí)現(xiàn)交通燈系統(tǒng)的設(shè)計(jì)?

如何利用ATmega48單片機(jī)實(shí)現(xiàn)交通燈系統(tǒng)的設(shè)計(jì)?
2022-01-24 06:34:42

如何去實(shí)現(xiàn)一種交通燈系統(tǒng)設(shè)計(jì)

設(shè)計(jì)要求(1)利用51單片機(jī)設(shè)計(jì)一個(gè)交通燈控制系統(tǒng),來實(shí)現(xiàn)行人與車流的分流的目的。該系統(tǒng)主要應(yīng)用在十字路口,縱向?yàn)橹鞲傻?,橫向?yàn)橹Ц傻?;?)主、支干道交替通行,兩個(gè)干道上的交通燈工作方式同時(shí)進(jìn)行
2021-11-09 08:00:51

如何去實(shí)現(xiàn)一種交通燈電路的設(shè)計(jì)呢

交通燈電路實(shí)現(xiàn)的功能有哪些?如何去實(shí)現(xiàn)一種交通燈電路的設(shè)計(jì)呢?
2021-11-02 09:25:42

如何去實(shí)現(xiàn)一種基于51單片機(jī)的交通燈系統(tǒng)設(shè)計(jì)

基于51單片機(jī)的交通燈系統(tǒng)設(shè)計(jì)(已通過proteus仿真)目錄基于51單片機(jī)的交通燈系統(tǒng)設(shè)計(jì)(已通過proteus仿真)系統(tǒng)概述應(yīng)用軟件及版本系統(tǒng)功能描述代碼及仿真展示工程文件鏈接系統(tǒng)概述應(yīng)用軟件
2021-11-10 07:07:05

如何去實(shí)現(xiàn)一種基于STM32單片機(jī)的簡(jiǎn)易交通燈設(shè)計(jì)呢

如何去實(shí)現(xiàn)一種基于STM32單片機(jī)的簡(jiǎn)易交通燈設(shè)計(jì)呢?如何對(duì)基于STM32單片機(jī)的簡(jiǎn)易交通燈進(jìn)行仿真呢?
2021-11-17 06:14:17

如何去實(shí)現(xiàn)一種基于STM32的智能交通燈控制器的設(shè)計(jì)呢

如何去實(shí)現(xiàn)一種基于STM32的智能交通燈控制器的設(shè)計(jì)呢?有哪幾種方案呢?
2021-11-26 06:50:19

如何去實(shí)現(xiàn)一種簡(jiǎn)易交通燈設(shè)計(jì)

;具體要求如下:①每條道路設(shè)一組交通燈,每組信號(hào)燈有紅,黃,綠3個(gè)組成,綠燈表示允許通過,紅燈表示禁止通行,黃燈表示該車道上已過停車線的車輛繼續(xù)通行,未過停車線的車輛停止通行。②主干道通行40秒,南北通行時(shí)間為20秒。③每次變換通行車道之前,要求黃燈先亮5秒,才能變換通行車道。二、系統(tǒng)設(shè)計(jì)方
2021-12-13 07:29:07

如何對(duì)基于51單片機(jī)交通燈程序進(jìn)行設(shè)計(jì)與仿真

、轉(zhuǎn)向等功能。并結(jié)合交通燈,設(shè)計(jì)了人行道。采用STC89C51芯片作為中心期間來設(shè)計(jì)交通燈來控制電路,結(jié)合七段共陰極數(shù)碼管顯示時(shí)間的模塊、交通燈顯示模塊、按鍵電路、IO口擴(kuò)展電路等組成,通過程序設(shè)計(jì)和軟件仿真實(shí)現(xiàn)紅、黃、綠、藍(lán)燃亮?xí)r間以及雙位數(shù)碼管顯示倒計(jì)時(shí)。
2021-11-09 08:46:53

如何設(shè)計(jì)交通燈?

設(shè)計(jì)交通燈實(shí)現(xiàn)如現(xiàn)實(shí)交通燈的功能,及用數(shù)碼管顯示紅黃綠燈的倒計(jì)時(shí)讀秒。
2019-10-10 03:32:42

帶代碼的Proteus交通燈仿真資料

這是一個(gè)帶代碼的Proteus交通燈仿真資料。
2017-04-13 23:12:07

怎么實(shí)現(xiàn)基于51單片機(jī)的交通燈的控制設(shè)計(jì)?

怎么實(shí)現(xiàn)基于51單片機(jī)的交通燈的控制設(shè)計(jì)?
2021-09-23 08:03:07

怎么利用51單片機(jī)實(shí)現(xiàn)交通燈的設(shè)計(jì)?

怎么利用51單片機(jī)實(shí)現(xiàn)交通燈的設(shè)計(jì)?
2021-09-29 07:17:53

數(shù)字交通燈的設(shè)計(jì),有償求教

做數(shù)字交通燈的設(shè)計(jì)。用仿真軟件MULTISIM,理論資料圖紙也有,能不能幫忙做下或者講解下,酬勞好說
2015-01-06 23:09:47

數(shù)字交通燈設(shè)計(jì)(Multisim仿真+PCB實(shí)物)精選資料推薦

交通燈設(shè)計(jì)(Multisim仿真+PCB實(shí)物)一、展示仿真圖、PCB原理圖、實(shí)物焊接及測(cè)試圖圖一仿真圖圖二PCB原理圖 圖三 PCB3D圖 圖四功能實(shí)現(xiàn)圖二、設(shè)計(jì)過程1、設(shè)計(jì)要求本次實(shí)驗(yàn)要做
2021-07-26 06:39:59

數(shù)電交通燈參考設(shè)計(jì)(附Multisim仿真電路+原理文檔)

交通燈設(shè)計(jì):本設(shè)計(jì)是基于數(shù)字電路芯片完成的,內(nèi)有Multisim仿真電路(已驗(yàn)證通過),具體設(shè)計(jì)說明書,基本思路采用74LS160十進(jìn)制加法計(jì)數(shù)器來產(chǎn)生四種交通燈狀態(tài),計(jì)數(shù)器是由多功能計(jì)數(shù)器555產(chǎn)生的1Hz的秒脈沖驅(qū)動(dòng)。
2021-08-25 18:07:56

有償求助,急急急基于FPGA交通燈智能控制

基于FPGA交通燈智能控制十字路口的交通燈有緊急暫停功能可以用4*4矩陣鍵盤輸入聯(lián)系QQ1227450190
2019-04-28 23:37:25

有關(guān)ATM48的交通燈

求問大神,怎么實(shí)現(xiàn)ATME48的交通燈系統(tǒng),要求有倒計(jì)時(shí)顯示,而且能夠改變倒計(jì)時(shí){:11:}{:11:}{:11:}
2014-07-03 17:06:12

模擬交通燈

模擬交通燈 仿真
2013-04-21 22:49:58

模擬交通燈控制的實(shí)現(xiàn)方法是什么

實(shí)驗(yàn)?zāi)康模簩W(xué)習(xí)模擬交通燈控制的實(shí)現(xiàn)方法;掌握Proteus硬件仿真與調(diào)試。任務(wù):根據(jù)要求編寫程序,并寫出原理性注釋;將檢查程序運(yùn)行的結(jié)果,分析一下是否正確;完成所建工程的仿真及調(diào)試。實(shí)驗(yàn)內(nèi)容按照電路
2021-07-14 08:00:55

交通燈multisim仿真

`求交通燈multisim仿真`
2015-07-22 12:07:34

交通燈程序

求一個(gè)交通燈的設(shè)計(jì),電路圖+程序。謝謝各位大神
2012-12-24 22:33:50

求助 關(guān)于fpga交通燈串口

本人是剛?cè)腴T的,做了一個(gè)交通燈,有車流檢測(cè),想通過串口,實(shí)現(xiàn)PC對(duì)交通燈狀態(tài)的控制,以及讀取交通燈狀態(tài)、車流檢測(cè)數(shù)量,用的是TTL,直連引腳,不懂得怎么做,求高人指點(diǎn)
2016-05-28 23:57:10

用Arduino IDE開發(fā)一種智能交通燈

A題(適用于學(xué)過C語言的同學(xué))難度高,可以實(shí)現(xiàn)部分功能分步得分用Arduino IDE開發(fā)“智能交通燈”項(xiàng)目,某一長(zhǎng)段路半段處有一大型商場(chǎng),其門口設(shè)有一人行通道,根據(jù)此情景設(shè)計(jì)一個(gè)人行通道“智能
2021-09-08 07:32:11

自己寫交通燈程序及仿真

自己寫交通燈程序及仿真
2013-03-28 20:46:56

請(qǐng)問8253芯片實(shí)現(xiàn)交通燈計(jì)時(shí)的原理是什么

請(qǐng)問8253芯片實(shí)現(xiàn)交通燈計(jì)時(shí)的原理是什么,求大神指教
2016-06-19 20:00:39

請(qǐng)問基于fpga交通燈控制的實(shí)物要怎么做???

請(qǐng)問基于fpga交通燈控制的實(shí)物要怎么做啊??學(xué)過編程和仿真,就是沒學(xué)過做實(shí)物,求大神講解
2015-10-29 21:12:08

超級(jí)形象交通燈仿真

超級(jí)形象交通燈仿真
2014-06-27 18:52:57

基于FPGA交通燈控制器實(shí)現(xiàn)

傳統(tǒng)交通燈控制器多數(shù)由單片機(jī)或PLC 實(shí)現(xiàn)。本論文介紹一種用FPGA 實(shí)現(xiàn)交通燈控制器的設(shè)計(jì)方法。關(guān)鍵詞:FPGA; VHDL; MAXPLUSll; 交通燈控制器Abstract:Traffic light controller is usually
2009-06-12 11:12:5290

基于Xilinx FPGA智能交通燈模糊控制的設(shè)計(jì)和實(shí)現(xiàn)

本文提出一種智能交通燈模糊控制的方法,利用FPGA的優(yōu)勢(shì)以及其軟硬件協(xié)同工作帶來的便利,將智能交通燈控制在FPGA實(shí)現(xiàn)。由硬件實(shí)現(xiàn)可以看出,它能根據(jù)采集到的當(dāng)前相位車流量和
2012-12-28 11:34:114060

交通燈程序設(shè)計(jì) 交通燈protues仿真程序基于51單片機(jī)交通燈

交通燈程序設(shè)計(jì) 交通燈protues仿真程序基于51單片機(jī)交通燈仿真
2017-01-14 22:32:46349

交通燈Proteus仿真

交通燈仿真介紹圖
2017-03-19 19:49:3976

基于LabVIEW的交通燈控制系統(tǒng)設(shè)計(jì)與仿真

本文主要介紹了基于LabVIEW的交通燈控制系統(tǒng)設(shè)計(jì)與仿真。傳統(tǒng)的十字路口交通控制系統(tǒng)中,一般只采用紅綠黃燈分方向輪流控制形式,沒有考慮到實(shí)時(shí)的各方向路面車流輛情況,緊急突發(fā)事件的應(yīng)急處理等,這樣
2018-01-09 11:39:0639901

使用Verilog HDL和FPGA芯片實(shí)現(xiàn)交通燈的論文說明

,使其實(shí)現(xiàn)道路交通的正常運(yùn)轉(zhuǎn),突出了其作為硬件描述語言的良好的可讀性、可移植性和易理解等優(yōu)點(diǎn),并通過Quartus5.0完成綜合、仿真。此程序通過下載到FPGA芯片后,可應(yīng)用于實(shí)際的交通燈控制系統(tǒng)中。
2020-10-10 17:08:0034

已全部加載完成