電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>使用CPLD器件和VHDL語(yǔ)言實(shí)現(xiàn)USB收發(fā)模塊的硬件功能設(shè)計(jì)

使用CPLD器件和VHDL語(yǔ)言實(shí)現(xiàn)USB收發(fā)模塊的硬件功能設(shè)計(jì)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

基于FPGA和VHDLUSB2.0控制器設(shè)計(jì)

  本文針對(duì)高速數(shù)據(jù)傳輸需求,根據(jù)USB2.0的協(xié)議規(guī)范,利用VHDL語(yǔ)言實(shí)現(xiàn)符合該協(xié)議的功能控制器,在視頻壓解系統(tǒng)中使數(shù)據(jù)在PC與外設(shè)之間高速傳輸。
2010-10-28 15:44:031170

利用可編程器件CPLD/FPGA實(shí)現(xiàn)VGA圖像控制器的設(shè)計(jì)方案

利用可編程器件CPLD/FPGA實(shí)現(xiàn)VGA彩色顯示控制器在工業(yè)現(xiàn)場(chǎng)中有許多實(shí)際應(yīng)用。以硬件描述語(yǔ)言VHDL對(duì)可編程器件進(jìn)行功能模塊設(shè)計(jì)、仿真綜合,可實(shí)現(xiàn)VGA顯示控制器顯示各種圖形、圖像、文字,并實(shí)現(xiàn)了動(dòng)畫(huà)效果。
2020-08-30 12:03:59882

15份CCD驅(qū)動(dòng)的文獻(xiàn)資料合集(基于FPGA、CPLD設(shè)計(jì)與實(shí)現(xiàn)

的設(shè)計(jì)與實(shí)現(xiàn),基于FPGA的模式可調(diào)線陣CCD驅(qū)動(dòng)電路設(shè)計(jì),基于FPGA的線陣CCD驅(qū)動(dòng)模塊實(shí)現(xiàn),基于FPGA的線陣型CCD驅(qū)動(dòng)電路設(shè)計(jì),基于USB3_0的FPGA對(duì)線陣CCD驅(qū)動(dòng)時(shí)序電路設(shè)計(jì),基于單片機(jī)的線陣CCD驅(qū)動(dòng)模塊硬件設(shè)計(jì)與實(shí)現(xiàn)。
2019-06-03 16:45:25

CPLD系統(tǒng)設(shè)計(jì)及VHDL語(yǔ)言的視頻教程

各位好,請(qǐng)問(wèn)哪里有免費(fèi)下載的 CPLD系統(tǒng)設(shè)計(jì)及VHDL語(yǔ)言的視頻教程?是天祥的。淘寶里有好多賣的,可是要淘寶帳戶和錢(qián)呀?
2008-07-20 10:29:10

VHDL語(yǔ)言實(shí)現(xiàn)數(shù)字電壓表

采用自頂向下的設(shè)計(jì)方法,即從系統(tǒng)總體要求出發(fā),自上至下地將設(shè)計(jì)任務(wù)分解為不同的功能模塊,最后將各功能模塊連接形成頂層模塊,完成系統(tǒng)硬件的整體設(shè)計(jì)。本文用FPGA芯片和VHDL語(yǔ)言設(shè)計(jì)了一個(gè)數(shù)字電壓表
2012-10-26 15:46:00

VHDL怎么實(shí)現(xiàn)減法運(yùn)算?

請(qǐng)教大家怎么用VHDL語(yǔ)言實(shí)現(xiàn)減法運(yùn)算?在FPGA設(shè)計(jì)時(shí)又該怎么操作呢?
2012-05-17 20:07:12

vhdl語(yǔ)言

如何用VHDL 語(yǔ)言實(shí)現(xiàn)右移位?。壳蟠笊駧涂纯礊槭裁?b class="flag-6" style="color: red">實(shí)現(xiàn)不了右移位?library ieee;use ieee.std_logic_1164.all;use
2016-05-28 15:46:38

vhdl語(yǔ)言實(shí)例大全下載

vhdl語(yǔ)言實(shí)例大全下載 
2008-05-20 09:36:01

vhdl是什么

超高速集成電路硬件描述語(yǔ)言,主要是應(yīng)用在數(shù)字電路的設(shè)計(jì)中。它在中國(guó)的應(yīng)用多數(shù)是用在FPGA/CPLD/EPLD的設(shè)計(jì)中。當(dāng)然在一些實(shí)力較為雄厚的單位,它也被用來(lái)設(shè)計(jì)ASIC。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)
2015-09-30 13:48:29

硬件描述語(yǔ)言VHDL課件

硬件描述語(yǔ)言VHDL課件   硬件描述語(yǔ)言VHDL 數(shù)字系統(tǒng)設(shè)計(jì)分為硬件設(shè)計(jì)和軟件設(shè)計(jì), 但是隨著計(jì)算機(jī)技術(shù)、超大規(guī)模集成電路(CPLD
2008-09-11 15:47:23

ADUC7061如何使用C語(yǔ)言實(shí)現(xiàn)EEPROM功能?

我使用ADUC7061做的信號(hào)采集,現(xiàn)在客戶需要實(shí)現(xiàn)EEPROM功能來(lái)保存3-5個(gè)數(shù)據(jù),請(qǐng)問(wèn)如何使用C語(yǔ)言實(shí)現(xiàn)?不使用外部EEPROM 專用IC。
2024-01-12 06:56:45

C++語(yǔ)言實(shí)現(xiàn)火車排序功能.doc

C++語(yǔ)言實(shí)現(xiàn)火車排序功能.doc
2017-08-05 22:01:19

C語(yǔ)言實(shí)現(xiàn)常用排序算法是什么?

C語(yǔ)言實(shí)現(xiàn)常用排序算法是什么?
2021-10-19 06:41:46

FPGA技術(shù)如何用VHDL語(yǔ)言實(shí)現(xiàn)8位RISC微處理器?

設(shè)計(jì)RISC微處理器需要遵循哪些原則?基于FPGA技術(shù)用VHDL語(yǔ)言實(shí)現(xiàn)的8位RISC微處理器
2021-04-13 06:11:51

FPGA畢業(yè)論文選題大全

  基于VHDL語(yǔ)言的數(shù)字鐘系統(tǒng)設(shè)計(jì)  基于FPGA的交通燈控制  采用可編程器件(FPGA/CPLD)設(shè)計(jì)數(shù)字鐘  數(shù)字鎖相環(huán)法位同步信號(hào)  基于FPGA的碼速調(diào)整電路的建模與設(shè)計(jì)  誤碼檢測(cè)儀
2012-02-10 10:40:31

FPGA的VHDL有哪些優(yōu)點(diǎn)?怎么理解VHDL?

支持大規(guī)模設(shè)計(jì)的分解和已有設(shè)計(jì)的再利用功能。4.門(mén)級(jí)網(wǎng)表對(duì)于用 VHDL 完成的一個(gè)確定的設(shè)計(jì),可以利用 EDA 工具進(jìn)行邏輯綜合和優(yōu)化,并自動(dòng)把VHDL 描述設(shè)計(jì)轉(zhuǎn)變成門(mén)級(jí)網(wǎng)表。5.獨(dú)立性VHDL 對(duì)設(shè)計(jì)的描述具有相對(duì)獨(dú)立性,設(shè)計(jì)者可以不懂硬件的結(jié)構(gòu),也不必對(duì)最終設(shè)計(jì)實(shí)現(xiàn)的目標(biāo)器件有很深入地了解。
2018-09-07 09:04:45

IIC總線通訊接口器件CPLD實(shí)現(xiàn)

IIC總線通訊接口器件CPLD實(shí)現(xiàn)摘要:介紹了采用ALTERA公司的可編程器件EPF10K10LC84-3實(shí)現(xiàn)IIC總線的通訊接口的基本原理,并給出了部分的VHDL語(yǔ)言描述。該通訊接口與專用的接口芯片相比,具有使用靈活、系統(tǒng)配置方便的特點(diǎn)。 [/hide]
2009-10-30 14:57:35

雙向數(shù)據(jù)轉(zhuǎn)換器的VHDL程序設(shè)計(jì)

面向用戶需求,根據(jù)系統(tǒng)的行為和功能要求,自上至下地逐層完成相應(yīng)的描述、綜合、優(yōu)化、仿真和驗(yàn)證,直到生成器件。本文介紹了使用VHDL語(yǔ)言實(shí)現(xiàn)CPLD設(shè)計(jì)的方法,并以此方法在ALTERA公司的CPLD器件
2016-05-08 06:18:34

基于CPLD和FPGA的VHDL語(yǔ)言電路優(yōu)化設(shè)計(jì)

DescriptionLanguage)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語(yǔ)言,是隨著可編程邏輯器件(PLD)的發(fā)展而發(fā)展起來(lái)的。它是一種面向設(shè)計(jì)、多層次的硬件描述語(yǔ)言,是集行為描述、RTL描述、門(mén)級(jí)描述功能為一體的語(yǔ)言,并已
2019-06-18 07:45:03

基于FPGA的圖像邊緣檢測(cè)系統(tǒng)設(shè)計(jì),用VHDL語(yǔ)言實(shí)現(xiàn)該怎么做?

不知道有沒(méi)有大神做過(guò):基于FPGA的圖像邊緣檢測(cè)系統(tǒng)設(shè)計(jì),用VHDL語(yǔ)言實(shí)現(xiàn)
2018-05-10 00:22:07

基于匯編語(yǔ)言實(shí)現(xiàn)最簡(jiǎn)單的LED燈閃爍

匯編實(shí)現(xiàn)LED燈閃1. 本文目的基于匯編語(yǔ)言實(shí)現(xiàn)最簡(jiǎn)單的LED燈閃爍。匯編語(yǔ)言(assembly language)是一種用于電子計(jì)算機(jī)、微處理器、微控制器或其他可編程器件的低級(jí)語(yǔ)言,亦稱為符號(hào)語(yǔ)言
2021-10-27 07:34:55

天祥 十天學(xué)會(huì)CPLD FPGA VHDL視頻教程(3.12G完整版)

自從天祥電子推出40小時(shí)的“十天學(xué)會(huì)單片機(jī)和C語(yǔ)言編程”視頻教程后,受到了廣大電子愛(ài)好者的好評(píng),并希望我們能夠趕緊推出“CPLD器件VHDL程序設(shè)計(jì)”的視頻教程,為了能夠滿足大家的要求,提供更多
2009-02-07 11:34:24

如何實(shí)現(xiàn)單片機(jī)與CPLD通訊呢?

單片機(jī)為P89V51RD2,CPLD為ATF1508AS,現(xiàn)在要實(shí)現(xiàn)單片機(jī)與CPLD的通訊,如何實(shí)現(xiàn)?希望能講清原理和用VHDL語(yǔ)言實(shí)現(xiàn),謝謝
2023-04-23 14:22:38

如何使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)的十六路彩燈控制系統(tǒng)?

本文介紹應(yīng)用美國(guó)ALTERA公司的MAX+PLUSⅡ平臺(tái),使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)的十六路彩燈控制系統(tǒng)。
2021-04-19 07:43:57

如何使用C語(yǔ)言實(shí)現(xiàn)模糊PID控制?

如何使用C語(yǔ)言實(shí)現(xiàn)模糊PID控制?
2021-09-24 08:54:18

如何利用VHDL語(yǔ)言實(shí)現(xiàn)FPGA與單片機(jī)的串口異步通信電路?

本文介紹利用VHDL語(yǔ)言實(shí)現(xiàn) FPGA與單片機(jī)的串口異步通信電路。
2021-04-29 06:34:57

如何利用FPGA和VHDL語(yǔ)言實(shí)現(xiàn)PCM碼的解調(diào)?

利用現(xiàn)場(chǎng)可編程門(mén)陣列(FPGA)和VHDL 語(yǔ)言實(shí)現(xiàn)了PCM碼的解調(diào),這樣在不改變硬件電路的情況下,能夠適應(yīng)PCM碼傳輸速率和幀結(jié)構(gòu)變化,從而正確解調(diào)數(shù)據(jù)。
2021-05-07 06:58:37

如何用VHDL語(yǔ)言實(shí)現(xiàn)幀同步的設(shè)計(jì)?

幀同步是什么工作原理?如何用VHDL語(yǔ)言實(shí)現(xiàn)幀同步的設(shè)計(jì)?
2021-04-08 06:33:59

如何采用CPLD完成雷達(dá)并口數(shù)據(jù)收發(fā)及存儲(chǔ)功能

本文采用單片CPLD完成了以往需要大量外圍器件來(lái)完成的雷達(dá)并口數(shù)據(jù)收發(fā)及存儲(chǔ)功能。
2021-05-07 06:06:18

怎么實(shí)現(xiàn)基于CPLD的異步串行通訊控制器的設(shè)計(jì)?

本文在對(duì)異步串行通信協(xié)議進(jìn)行分析的基礎(chǔ)上,根據(jù)實(shí)際工程的需要,對(duì)異步串行通信控制器進(jìn)行了詳細(xì)設(shè)計(jì),并結(jié)合CPLD器件,采用VHDL語(yǔ)言,對(duì)設(shè)計(jì)方案進(jìn)行了實(shí)現(xiàn)和驗(yàn)證,通過(guò)最后時(shí)序仿真的波形圖得出
2021-05-28 06:53:11

怎么利用CPLD/FPGA的VHDL語(yǔ)言優(yōu)化電路?

VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語(yǔ)言,是隨著可編程邏輯器件
2019-08-28 08:05:46

怎么利用CPLD器件及VDHL語(yǔ)言實(shí)現(xiàn)電梯控制系統(tǒng)?

如何使用CPLD器件,采用VHDL語(yǔ)言設(shè)計(jì)一個(gè)16 樓層單個(gè)載客箱的電梯控制系統(tǒng),此控制系統(tǒng)具有使用安全可靠,功能全面的特點(diǎn),方便人們生活。
2021-04-29 07:07:05

怎么用VHDL語(yǔ)言CPLD實(shí)現(xiàn)串行通信?

串行通信發(fā)送器是什么工作原理?怎么用VHDL語(yǔ)言CPLD實(shí)現(xiàn)串行通信?
2021-04-13 06:26:46

怎么設(shè)計(jì)優(yōu)化VHDL語(yǔ)言電路?

可編程邏輯器件(PLD)的發(fā)展而發(fā)展起來(lái)的。它是一種面向設(shè)計(jì)、多層次的硬件描述語(yǔ)言,是集行為描述、RTL描述、門(mén)級(jí)描述功能為一體的語(yǔ)言,并已成為描述、驗(yàn)證和設(shè)計(jì)數(shù)字系統(tǒng)中最重要的標(biāo)準(zhǔn)語(yǔ)言之一。由于VHDL
2019-08-08 07:08:00

VHDL語(yǔ)言對(duì)FPGA 和CPLD器件進(jìn)行開(kāi)發(fā)時(shí)需要注意什么?

VHDL 語(yǔ)言設(shè)計(jì)電路是利用硬件描述的方法,將系統(tǒng)功能通過(guò)目標(biāo)器件表現(xiàn)出來(lái),而目標(biāo)器件的資源占用率是設(shè)計(jì)成功與否的關(guān)鍵。
2019-10-28 07:31:04

用FPGA/CPLD設(shè)計(jì)UART

),有時(shí)我們不需要使用完整的UART的功能和這些輔助功能。或者設(shè)計(jì)上用到了FPGA/CPLD器件,那么我們就可以將所需要的UART功能集成到FPGA內(nèi)部。使用VHDL將UART的核心功能集成,從而使整個(gè)設(shè)計(jì)更加緊湊、穩(wěn)定且可靠。本文應(yīng)用EDA技術(shù),基于FPGA/CPLD器件設(shè)計(jì)與實(shí)現(xiàn)UART。
2012-05-23 19:37:24

請(qǐng)問(wèn)如何使用Verilog硬件描述語(yǔ)言實(shí)現(xiàn)AES密碼算法?

如何使用Verilog硬件描述語(yǔ)言實(shí)現(xiàn)AES密碼算法?
2021-04-14 06:29:10

VHDL硬件描述語(yǔ)言教學(xué).

VHDL硬件描述語(yǔ)言教學(xué):包括fpga講義,VHDL硬件描述語(yǔ)言基礎(chǔ),VHDL語(yǔ)言的層次化設(shè)計(jì)的教學(xué)幻燈片
2006-03-27 23:46:4993

vhdl語(yǔ)言ppt

VHDL的定義和功能VHDL的發(fā)展概況程序編程語(yǔ)言硬件描述語(yǔ)言的對(duì)比引入硬件描述語(yǔ)言對(duì)系統(tǒng)進(jìn)
2008-09-03 12:58:4139

基于CPLD 的步進(jìn)電機(jī)控制介紹

敘述基于CPLD 的步進(jìn)電機(jī)的控制,采用VHDL 語(yǔ)言實(shí)現(xiàn)其控制,并在MAXPLUS2 下實(shí)現(xiàn)理想的仿真效果。該控制采用CPLD 作為核心器件,減少分立元件使用,在實(shí)時(shí)性和靈活性等性能上都有
2009-04-02 17:14:1936

基于PC/104 總線與CPLD 的SPI 接口設(shè)計(jì)

本文根據(jù)SPI 同步串行接口的通信協(xié)議,介紹了在CPLD 中利用VHDL 語(yǔ)言實(shí)現(xiàn)PC/104 總線擴(kuò)展SPI 接口的設(shè)計(jì)原理和編程思想。通過(guò)該方法的介紹,使得那些沒(méi)有SPI 接口功能
2009-05-30 09:28:1841

VHDL語(yǔ)言CPLD/ FPGA上實(shí)現(xiàn)浮點(diǎn)運(yùn)算

 介紹了用VHDL 語(yǔ)言硬件芯片上實(shí)現(xiàn)浮點(diǎn)加/ 減法、浮點(diǎn)乘法運(yùn)算的方法,并以Altera 公司的FLEX10K系列產(chǎn)品為硬件平臺(tái),以Maxplus II 為軟件工具,實(shí)現(xiàn)了6 點(diǎn)實(shí)序列浮點(diǎn)加/ 減法
2009-07-28 14:06:1385

VHDL語(yǔ)言概述

VHDL語(yǔ)言概述:本章主要內(nèi)容:􀁺硬件描述語(yǔ)言(HDL)􀁺VHDL語(yǔ)言的特點(diǎn)􀁺VHDL語(yǔ)言的開(kāi)發(fā)流程 1.1 1.1 硬件描述語(yǔ)言硬件描述語(yǔ)言(HDL HDL)􀂾H
2009-08-09 23:13:2047

基于CPLD的某BIT系統(tǒng)研制

介紹了基于CPLD 和EDA 技術(shù)的BIT(機(jī)內(nèi)測(cè)試)系統(tǒng)的實(shí)現(xiàn)。本系統(tǒng)以CPLD 為控制核心,在MAX+PLUSII 環(huán)境下采用VHDL 語(yǔ)言實(shí)現(xiàn)了系統(tǒng)接口及測(cè)頻電路。該系統(tǒng)具有集成度高、靈活性強(qiáng)、易于開(kāi)
2009-09-03 09:30:519

CRC算法原理及C語(yǔ)言實(shí)現(xiàn)

CRC算法原理及C語(yǔ)言實(shí)現(xiàn):本文從理論上推導(dǎo)出CRC 算法實(shí)現(xiàn)原理,給出三種分別適應(yīng)不同計(jì)算機(jī)或微控制器硬件環(huán)境的C 語(yǔ)言程序。讀者更能根據(jù)本算法原理,用不同的語(yǔ)言編寫(xiě)出獨(dú)特
2009-09-23 23:38:5031

基于CPLD的頻譜電平顯示電路設(shè)計(jì)與實(shí)現(xiàn)

本文基于VHDL硬件描述語(yǔ)言,利用CPLD器件EPM570T100C5和LED點(diǎn)陣屏實(shí)現(xiàn)了對(duì)音頻信號(hào)的頻譜顯示,給出了設(shè)計(jì)過(guò)程、VHDL語(yǔ)言源程序和實(shí)驗(yàn)結(jié)果,拓展了CPLD在顯示領(lǐng)域的應(yīng)用。
2010-02-24 14:46:4528

基于CPLD的頻譜電平顯示電路設(shè)計(jì)與實(shí)現(xiàn)

本文基于VHDL硬件描述語(yǔ)言,利用CPLD器件EPM570T100C5和LED點(diǎn)陣屏實(shí)現(xiàn)了對(duì)音頻信號(hào)的頻譜顯示,給出了設(shè)計(jì)過(guò)程、VHDL語(yǔ)言源程序和實(shí)驗(yàn)結(jié)果,拓展了CPLD在顯示領(lǐng)域的應(yīng)用。
2010-07-17 18:07:4025

數(shù)字鎖相位同步提取的VHDL實(shí)現(xiàn)

本文設(shè)計(jì)了一種在數(shù)字通信系統(tǒng)中的數(shù)字鎖相位同步提取方案,詳細(xì)介紹了本設(shè)計(jì)的位同步提取原理及其各個(gè)組成功能模塊VHDL語(yǔ)言實(shí)現(xiàn),并在Quartus II開(kāi)發(fā)平臺(tái)上仿真驗(yàn)證通過(guò)。本
2010-08-06 14:28:0864

VHDL密碼控制系統(tǒng)的設(shè)計(jì)

闡述密碼控制設(shè)計(jì)的基本原理。介紹了VHDL語(yǔ)言的特點(diǎn)以及基本的語(yǔ)法結(jié)構(gòu)。在MAX+plusⅡ開(kāi)發(fā)軟件環(huán)境下,利用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)密碼控制系統(tǒng)設(shè)計(jì),并對(duì)其系統(tǒng)各個(gè)模塊進(jìn)行仿真
2010-12-16 16:10:370

基于CPLD的電梯控制器的設(shè)計(jì)

探討電梯控制技術(shù)的發(fā)展歷史和技術(shù)現(xiàn)狀,仔細(xì)研究CPLD器件的工作原理,開(kāi)發(fā)流程以及VHDL語(yǔ)言的編程方法;采用單片CPLD器件,在MAX+plusⅡ軟件環(huán)境下,運(yùn)用VHDL語(yǔ)言設(shè)計(jì)一個(gè)16樓層單
2010-12-27 15:27:3556

VHDL語(yǔ)言實(shí)現(xiàn)3分頻電路

VHDL語(yǔ)言實(shí)現(xiàn)3分頻電路 標(biāo)簽/分類: 眾所周知,分頻器是FPGA設(shè)計(jì)中使用頻率非常高的基本設(shè)計(jì)之一,盡管在目前大部分設(shè)計(jì)中,廣泛使用芯片廠家集成的鎖相
2007-08-21 15:28:165527

VHDL語(yǔ)言在FPGA/CPLD開(kāi)發(fā)中的應(yīng)用?

【摘 要】 通過(guò)設(shè)計(jì)實(shí)例詳細(xì)介紹了用VHDL(VHSIC Hardware DescriptionLanguage)語(yǔ)言開(kāi)發(fā)FPGA/CPLD的方法,以及與電路圖輸入和其它HDL語(yǔ)言相比,使用VHDL語(yǔ)言的優(yōu)越性。
2009-05-10 19:47:301111

VHDL語(yǔ)言CPLD實(shí)現(xiàn)串行通信

摘 要: 串行通信是實(shí)現(xiàn)遠(yuǎn)程測(cè)控的重要手段。采用VHDL語(yǔ)言CPLD實(shí)現(xiàn)了串行通信,完全可以脫離單片機(jī)使用。 關(guān)鍵詞:
2009-06-20 12:43:50570

VHDL語(yǔ)言實(shí)現(xiàn)3分頻電路(占空比為2比1)

VHDL語(yǔ)言實(shí)現(xiàn)3分頻電路(占空比為2比1) 分頻器是FPGA設(shè)計(jì)中使用頻率非常高的基本設(shè)計(jì)之一,盡管在目前大部分設(shè)計(jì)中,廣泛使用芯片廠家集成的鎖
2009-06-22 07:46:337831

采用CPLD/FPGA的VHDL語(yǔ)言電路優(yōu)化原理設(shè)計(jì)

采用CPLD/FPGA的VHDL語(yǔ)言電路優(yōu)化原理設(shè)計(jì) VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語(yǔ)言,是隨著可編程邏輯器件(PLD)的發(fā)展而發(fā)展起
2010-03-19 11:38:022318

基于CPLD的GPIB控制器

采用低成本的 CPLD 器件替代了價(jià)格昂貴,且難以購(gòu)買的 GPIB 控制芯片, 成功的實(shí)現(xiàn)了具有自主知識(shí)產(chǎn)權(quán)的 IP CORE,并且所有核心模塊完全采用 VHDL 語(yǔ)言實(shí)現(xiàn), 能夠在不同的開(kāi)發(fā)環(huán)境上移
2011-07-02 11:32:332702

基于CPLDVHDL語(yǔ)言數(shù)字鐘(含秒表)設(shè)計(jì)

利用一塊芯片完成除時(shí)鐘源、按鍵、揚(yáng)聲器和顯示器(數(shù)碼管)之外的所有數(shù)字電路功能。所有數(shù)字邏輯功能都在CPLD器件上用VHDL語(yǔ)言實(shí)現(xiàn)。這樣設(shè)計(jì)具有體積小、設(shè)計(jì)周期短(設(shè)計(jì)過(guò)
2011-09-27 15:08:56366

基于CPLD/FPGA的VHDL語(yǔ)言電路優(yōu)化設(shè)計(jì)

VHDL(Very High Speed Integrated CIRCUITHARDWARE DESCRIPTION Language)是IEEE工業(yè)標(biāo)準(zhǔn)硬件描述語(yǔ)言,是隨著可編程邏輯器件(PLD)的發(fā)展而發(fā)展起來(lái)的。
2012-03-02 09:16:053822

基于CPLDVHDL語(yǔ)言數(shù)字鐘(含秒表)設(shè)計(jì)

基于CPLDVHDL語(yǔ)言數(shù)字鐘(含秒表)設(shè)計(jì)
2015-11-04 15:14:369

DSP算法的c語(yǔ)言實(shí)現(xiàn)

DSP算法的c語(yǔ)言實(shí)現(xiàn),又需要的朋友下來(lái)看看。
2016-05-09 10:59:260

FM收音機(jī)的解碼及控制器VHDL語(yǔ)言實(shí)現(xiàn)

Xilinx FPGA工程例子源碼:FM收音機(jī)的解碼及控制器VHDL語(yǔ)言實(shí)現(xiàn)
2016-06-07 14:13:4311

VHDL硬件描述語(yǔ)言

VHDL語(yǔ)言編程學(xué)習(xí)之VHDL硬件描述語(yǔ)言
2016-09-01 15:27:270

硬件描述語(yǔ)言VHDL

硬件描述語(yǔ)言VHDL的學(xué)習(xí)文檔,詳細(xì)的介紹了VHDL
2016-09-02 17:00:5312

C++語(yǔ)言實(shí)現(xiàn)火車排序功能

C++語(yǔ)言實(shí)現(xiàn)火車排序功能
2017-01-05 11:27:102

基于FPGA/CPLD的UART功能設(shè)計(jì)

基于FPGA/CPLD的UART功能設(shè)計(jì)
2017-01-23 20:45:3730

關(guān)于通過(guò)FPGA中VHDL語(yǔ)言實(shí)現(xiàn)ALU的功能設(shè)計(jì)詳解

目前許多FPGA的邏輯資源(LE)都已超過(guò)1萬(wàn)門(mén),使得片上可編程系統(tǒng)SOPC已經(jīng)成為可能。算術(shù)邏輯單元ALU應(yīng)用廣泛,是片上可編程系統(tǒng)不可或缺的一部分。利用VHDL語(yǔ)言在FPGA芯片上設(shè)計(jì)ALU的研究較少,文中選用FPGA來(lái)設(shè)計(jì)32位算術(shù)邏輯單元ALU,通過(guò)VHDL語(yǔ)言實(shí)現(xiàn)ALU的功能。
2018-07-22 11:22:006949

基于VHDL語(yǔ)言的數(shù)字時(shí)鐘的設(shè)計(jì)

介紹了VHDL語(yǔ)言的特點(diǎn)及優(yōu)勢(shì),表明了EDA技術(shù)的先進(jìn)性,采用自上而下的設(shè)計(jì)思路,運(yùn)用分模塊的設(shè)計(jì)方法設(shè)計(jì)了數(shù)字時(shí)鐘系統(tǒng),并在QuartusⅡ環(huán)境下進(jìn)行編譯和仿真,完成了24 h計(jì)時(shí)和輔助功能設(shè)計(jì)
2017-11-28 14:55:5613

VHDL硬件描述語(yǔ)言的學(xué)習(xí)

在小規(guī)模數(shù)字集成電路就要淘汰的今天,作為一個(gè)電類專業(yè)的畢業(yè)生應(yīng)該熟悉VHDL語(yǔ)言CPLD、FPGA器件的設(shè)計(jì),閻石教授新編寫(xiě)的教材也加入了VHDL語(yǔ)言方面的內(nèi)容,可見(jiàn)使用VHDL語(yǔ)言將數(shù)字系統(tǒng)集成
2017-12-05 09:00:3120

基于VHDL語(yǔ)言CPLD器件實(shí)現(xiàn)頻譜電平動(dòng)態(tài)顯示電路的設(shè)計(jì)

LED點(diǎn)陣顯示屏具有醒目、動(dòng)態(tài)效應(yīng)好、省電節(jié)能、亮度較高、用途廣等優(yōu)點(diǎn),是現(xiàn)代 化城市的主要標(biāo)志之一。利用VHDL硬件描述語(yǔ)言設(shè)計(jì)了以CPLD器件為核心的控制電路, 在LED點(diǎn)陣屏上實(shí)現(xiàn)了音頻信號(hào)的頻譜型電平動(dòng)態(tài)顯示, 而且具有顯示模式多樣化、易編程 修改,顏色可變、動(dòng)態(tài)效果好等優(yōu)點(diǎn)。
2019-04-26 08:08:001933

利用VHDL語(yǔ)言與FPGA器件設(shè)計(jì)數(shù)字日歷

本文介紹如何利用VHDL硬件描述語(yǔ)言設(shè)計(jì)一個(gè)具有年、月、日、星期、時(shí)、分、秒計(jì)時(shí)顯示功能,時(shí)間調(diào)整功能和整點(diǎn)報(bào)時(shí)功能的數(shù)字日歷。在QuartusⅡ開(kāi)發(fā)環(huán)境下,采用自頂向下的設(shè)計(jì)方法,建立各個(gè)基本模塊
2019-04-23 08:25:003816

使用可編程邏輯器件實(shí)現(xiàn)矩陣鍵盤(pán)掃描模塊的設(shè)計(jì)

給出了CPLD 部分模塊VHDL 語(yǔ)言實(shí)現(xiàn)和仿真波形。在矩陣鍵盤(pán)的掃描、編碼、輸出完全不需CPU 控制的前提下,實(shí)現(xiàn)標(biāo)準(zhǔn)鍵盤(pán)和矩陣鍵盤(pán)雙鍵盤(pán)同時(shí)使用。
2018-10-07 11:20:203741

如何使用CPLD實(shí)現(xiàn)Watchdog功能

  CPLD實(shí)現(xiàn)Watchdog 功能,通過(guò)對(duì)寄存器的操作,實(shí)現(xiàn)Watchdog各項(xiàng)功能。CPLD 內(nèi)部Watchdog 模塊邏輯框圖如下所示。
2019-06-12 15:59:3310

vhdl語(yǔ)言的操作符_vhdl語(yǔ)言有什么用

VHDL是一種用來(lái)描述數(shù)字邏輯系統(tǒng)的“編程語(yǔ)言”。它通過(guò)對(duì)硬件行為的直接描述來(lái)實(shí)現(xiàn)對(duì)硬件的物理實(shí)現(xiàn),代表了當(dāng)今硬件設(shè)計(jì)的發(fā)展方向。VHDL是為了滿足邏輯設(shè)計(jì)過(guò)程中的各種需求而設(shè)計(jì)的。
2020-04-23 15:51:032362

什么是vhdl語(yǔ)言_簡(jiǎn)述vhdl語(yǔ)言的特點(diǎn)

用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語(yǔ)句外,VHDL語(yǔ)言形式和描述風(fēng)格與句法是十分類似于一般的計(jì)算機(jī)高級(jí)語(yǔ)言。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工
2020-04-23 15:58:4910242

使用Quartus和VHDL語(yǔ)言實(shí)現(xiàn)的LPC時(shí)序的工程文件

本文檔的主要內(nèi)容詳細(xì)介紹的是使用Quartus和VHDL語(yǔ)言實(shí)現(xiàn)的LPC時(shí)序的工程文件免費(fèi)下載。
2020-09-18 16:49:0020

基于VHDL語(yǔ)言和可編程邏輯器件實(shí)現(xiàn)Petri網(wǎng)邏輯控制器的設(shè)計(jì)

VHDL語(yǔ)言由于其其強(qiáng)大的行為描述能力及與硬件行為無(wú)關(guān)的特性,被廣泛的用于數(shù)字系統(tǒng)設(shè)計(jì),實(shí)現(xiàn)硬件電路設(shè)計(jì)的軟件化,成為實(shí)現(xiàn)Petri網(wǎng)邏輯控制器的有力的工具。用VHDL語(yǔ)言進(jìn)行數(shù)字電路設(shè)計(jì)的很大
2020-09-22 20:46:51691

使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)FSK調(diào)制的詳細(xì)說(shuō)明

本文檔的主要內(nèi)容詳細(xì)介紹的是基于VHDL硬件描述語(yǔ)言,對(duì)基帶信號(hào)進(jìn)行FSK調(diào)制。
2021-01-19 14:34:0019

基于VHDL硬件描述語(yǔ)言實(shí)現(xiàn)CPSK調(diào)制的程序及仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是基于VHDL硬件描述語(yǔ)言實(shí)現(xiàn)CPSK調(diào)制的程序及仿真。
2021-01-19 14:34:1511

使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶信號(hào)的MASK調(diào)制的程序與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶信號(hào)的MASK調(diào)制的程序與仿真。
2021-01-19 14:34:1713

使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶信號(hào)的MFSK調(diào)制的程序與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶信號(hào)的MFSK調(diào)制的程序與仿真。
2021-01-19 14:34:194

如何使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶信號(hào)的MPSK調(diào)制

本文檔的主要內(nèi)容詳細(xì)介紹的是如何使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶信號(hào)的MPSK調(diào)制。
2021-01-19 14:34:212

使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶碼發(fā)生器的程序設(shè)計(jì)與仿真

本文檔的主要內(nèi)容詳細(xì)介紹的是使用VHDL硬件描述語(yǔ)言實(shí)現(xiàn)基帶碼發(fā)生器的程序設(shè)計(jì)與仿真免費(fèi)下載。
2021-01-20 13:44:1611

使用單片機(jī)實(shí)現(xiàn)紅外收發(fā)測(cè)試的C語(yǔ)言實(shí)例免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是使用單片機(jī)實(shí)現(xiàn)紅外收發(fā)測(cè)試的C語(yǔ)言實(shí)例免費(fèi)下載。
2021-03-26 10:12:5219

深度解讀VHDL語(yǔ)言的卷積碼和Viterbi譯碼的實(shí)現(xiàn)

介紹并用VHDL語(yǔ)言實(shí)現(xiàn)了卷積編碼和維特比譯碼。根據(jù)編碼器特征設(shè)計(jì)了一種具有針對(duì)性的簡(jiǎn)潔的維特比譯碼器結(jié)構(gòu),
2021-05-12 15:22:412112

累加校驗(yàn)和C語(yǔ)言實(shí)現(xiàn)

累加校驗(yàn)和C語(yǔ)言實(shí)現(xiàn)
2021-11-29 18:06:1110

怎么用C語(yǔ)言實(shí)現(xiàn)多態(tài)

這里我想主要介紹下在C語(yǔ)言中是如何實(shí)現(xiàn)的面向?qū)ο?。知道了C語(yǔ)言實(shí)現(xiàn)面向?qū)ο蟮姆绞?,我們?cè)俾?lián)想下,C++中的class的運(yùn)行原理是什么?
2022-10-12 09:12:271578

基于VHDL語(yǔ)言實(shí)現(xiàn)遠(yuǎn)程防盜報(bào)警設(shè)計(jì)

電子發(fā)燒友網(wǎng)站提供《基于VHDL語(yǔ)言實(shí)現(xiàn)遠(yuǎn)程防盜報(bào)警設(shè)計(jì).pdf》資料免費(fèi)下載
2023-11-08 14:33:110

已全部加載完成