電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>基于Xilinx FPGA上實(shí)現(xiàn)深度遞歸神經(jīng)網(wǎng)絡(luò)語(yǔ)言模型

基于Xilinx FPGA上實(shí)現(xiàn)深度遞歸神經(jīng)網(wǎng)絡(luò)語(yǔ)言模型

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

神經(jīng)網(wǎng)絡(luò)模型用于解決什么樣的問(wèn)題 神經(jīng)網(wǎng)絡(luò)模型有哪些

神經(jīng)網(wǎng)絡(luò)模型是一種機(jī)器學(xué)習(xí)模型,可以用于解決各種問(wèn)題,尤其是在自然語(yǔ)言處理領(lǐng)域中,應(yīng)用十分廣泛。具體來(lái)說(shuō),神經(jīng)網(wǎng)絡(luò)模型可以用于以下幾個(gè)方面: 語(yǔ)言模型建模:神經(jīng)網(wǎng)絡(luò)模型可以通過(guò)學(xué)習(xí)歷史文本數(shù)據(jù)來(lái)預(yù)測(cè)
2023-08-03 16:37:093428

FPGA深度學(xué)習(xí)應(yīng)用中或?qū)⑷〈鶪PU

、筆記本電腦或機(jī)架式服務(wù)器訓(xùn)練神經(jīng)網(wǎng)絡(luò)時(shí),這不是什么大問(wèn)題。但是,許多部署深度學(xué)習(xí)模型的環(huán)境對(duì) GPU 并不友好,比如自動(dòng)駕駛汽車(chē)、工廠、機(jī)器人和許多智慧城市環(huán)境,在這些環(huán)境中硬件必須忍受熱、灰塵、濕度
2024-03-21 15:19:45

深度神經(jīng)網(wǎng)絡(luò)是什么

多層感知機(jī) 深度神經(jīng)網(wǎng)絡(luò)in collaboration with Hsu Chung Chuan, Lin Min Htoo, and Quah Jia Yong. 與許忠傳,林敏濤和華佳勇合作
2021-07-12 06:35:22

神經(jīng)網(wǎng)絡(luò)和反向傳播算法

03_深度學(xué)習(xí)入門(mén)_神經(jīng)網(wǎng)絡(luò)和反向傳播算法
2019-09-12 07:08:05

神經(jīng)網(wǎng)絡(luò)教程(李亞非)

  第1章 概述  1.1 人工神經(jīng)網(wǎng)絡(luò)研究與發(fā)展  1.2 生物神經(jīng)元  1.3 人工神經(jīng)網(wǎng)絡(luò)的構(gòu)成  第2章人工神經(jīng)網(wǎng)絡(luò)基本模型  2.1 MP模型  2.2 感知器模型  2.3 自適應(yīng)線性
2012-03-20 11:32:43

神經(jīng)網(wǎng)絡(luò)結(jié)構(gòu)搜索有什么優(yōu)勢(shì)?

近年來(lái),深度學(xué)習(xí)的繁榮,尤其是神經(jīng)網(wǎng)絡(luò)的發(fā)展,顛覆了傳統(tǒng)機(jī)器學(xué)習(xí)特征工程的時(shí)代,將人工智能的浪潮推到了歷史最高點(diǎn)。然而,盡管各種神經(jīng)網(wǎng)絡(luò)模型層出不窮,但往往模型性能越高,對(duì)超參數(shù)的要求也越來(lái)越嚴(yán)格
2019-09-11 11:52:14

神經(jīng)網(wǎng)絡(luò)解決方案讓自動(dòng)駕駛成為現(xiàn)實(shí)

制造業(yè)而言,深度學(xué)習(xí)神經(jīng)網(wǎng)絡(luò)開(kāi)辟了令人興奮的研究途徑。為了實(shí)現(xiàn)從諸如高速公路全程自動(dòng)駕駛儀的短時(shí)輔助模式到專(zhuān)職無(wú)人駕駛旅行的自動(dòng)駕駛,汽車(chē)制造業(yè)一直在尋求讓響應(yīng)速度更快、識(shí)別準(zhǔn)確度更高的方法,而深度
2017-12-21 17:11:34

神經(jīng)網(wǎng)絡(luò)資料

基于深度學(xué)習(xí)的神經(jīng)網(wǎng)絡(luò)算法
2019-05-16 17:25:05

遞歸神經(jīng)網(wǎng)絡(luò)(RNN)

遞歸神經(jīng)網(wǎng)絡(luò)(RNN)RNN是最強(qiáng)大的模型之一,它使我們能夠開(kāi)發(fā)如分類(lèi)、序列數(shù)據(jù)標(biāo)注、生成文本序列(例如預(yù)測(cè)下一輸入詞的SwiftKey keyboard應(yīng)用程序),以及將一個(gè)序列轉(zhuǎn)換為另一個(gè)序列
2022-07-20 09:27:59

AI知識(shí)科普 | 從無(wú)人相信到萬(wàn)人追捧的神經(jīng)網(wǎng)絡(luò)

在一起,計(jì)算機(jī)就會(huì)判定這是一只貓! C、遞歸神經(jīng)網(wǎng)絡(luò)遞歸神經(jīng)網(wǎng)絡(luò)是一種深度神經(jīng)網(wǎng)絡(luò),它將相同的權(quán)重遞歸地應(yīng)用在神經(jīng)網(wǎng)絡(luò)架構(gòu),以拓?fù)渑判虻姆绞奖闅v給定結(jié)構(gòu),從而在大小可變的輸入結(jié)構(gòu)可以做出結(jié)構(gòu)化的預(yù)測(cè)
2018-06-05 10:11:50

BP神經(jīng)網(wǎng)絡(luò)PID控制電機(jī)模型仿真

求一個(gè)simulink的蓄電池用BP神經(jīng)網(wǎng)絡(luò)PID控制電機(jī)加速勻速減速運(yùn)動(dòng)的模型仿真
2020-02-22 02:17:03

EdgeBoard中神經(jīng)網(wǎng)絡(luò)算子在FPGA中的實(shí)現(xiàn)方法是什么?

FPGA加速的關(guān)鍵因素是什么?EdgeBoard中神經(jīng)網(wǎng)絡(luò)算子在FPGA中的實(shí)現(xiàn)方法是什么?
2021-09-28 06:37:44

labview BP神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)

請(qǐng)問(wèn):我在用labview做BP神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)故障診斷,在NI官網(wǎng)找到了機(jī)器學(xué)習(xí)工具包(MLT),但是里面沒(méi)有關(guān)于這部分VI的幫助文檔,對(duì)于”BP神經(jīng)網(wǎng)絡(luò)分類(lèi)“這個(gè)范例有很多不懂的地方,比如
2017-02-22 16:08:08

matlab實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò) 精選資料分享

習(xí)神經(jīng)神經(jīng)網(wǎng)絡(luò),對(duì)于神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)是如何一直沒(méi)有具體實(shí)現(xiàn)一下:現(xiàn)看到一個(gè)簡(jiǎn)單的神經(jīng)網(wǎng)絡(luò)模型用于訓(xùn)練的輸入數(shù)據(jù):對(duì)應(yīng)的輸出數(shù)據(jù):我們這里設(shè)置:1:節(jié)點(diǎn)個(gè)數(shù)設(shè)置:輸入層、隱層、輸出層的節(jié)點(diǎn)
2021-08-18 07:25:21

【PYNQ-Z2申請(qǐng)】基于PYNQ-Z2的神經(jīng)網(wǎng)絡(luò)圖形識(shí)別

對(duì)神經(jīng)網(wǎng)絡(luò)的學(xué)習(xí),講解其工作原理。4.基于PYNQ-Z2,用python實(shí)現(xiàn)一個(gè)神經(jīng)網(wǎng)絡(luò)。5.訓(xùn)練和測(cè)試神經(jīng)網(wǎng)絡(luò),完成神經(jīng)網(wǎng)絡(luò)最經(jīng)典的入門(mén)實(shí)驗(yàn)--手寫(xiě)數(shù)字識(shí)別。6.如時(shí)間充足,會(huì)利用板子
2019-01-09 14:48:59

【PYNQ-Z2申請(qǐng)】基于PYNQ的神經(jīng)網(wǎng)絡(luò)自動(dòng)駕駛小車(chē)

作品簡(jiǎn)介這次試用PYNQ-Z2作品“基于PYNQ平臺(tái)的神經(jīng)網(wǎng)絡(luò)自動(dòng)駕駛小車(chē)”,在PYNQ平臺(tái)上對(duì)車(chē)載攝像頭圖像高速采集、預(yù)處理,并在FPGA搭建神經(jīng)網(wǎng)絡(luò),使用圖像輸入生成小車(chē)運(yùn)動(dòng)的控制信號(hào),實(shí)現(xiàn)小車(chē)自動(dòng)駕駛
2018-12-19 11:36:24

【PYNQ-Z2申請(qǐng)】基于PYNQ的卷積神經(jīng)網(wǎng)絡(luò)加速

,得到訓(xùn)練參數(shù)2、利用開(kāi)發(fā)板arm與FPGA聯(lián)合的特性,在arm端實(shí)現(xiàn)圖像預(yù)處理已經(jīng)卷積核神經(jīng)網(wǎng)絡(luò)的池化、激活函數(shù)和全連接,在FPGA實(shí)現(xiàn)卷積運(yùn)算3、對(duì)整個(gè)系統(tǒng)進(jìn)行調(diào)試。4、在基本實(shí)現(xiàn)系統(tǒng)的基礎(chǔ)
2018-12-19 11:37:22

【PYNQ-Z2試用體驗(yàn)】神經(jīng)網(wǎng)絡(luò)基礎(chǔ)知識(shí)

python語(yǔ)言,可以很輕松地實(shí)現(xiàn)復(fù)雜的數(shù)學(xué)運(yùn)算,降低編程難度。下一篇文章,將通過(guò)具體代碼,演示基于神經(jīng)網(wǎng)絡(luò)的手寫(xiě)圖形識(shí)別。
2019-03-03 22:10:19

人工神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)方法有哪些?

人工神經(jīng)網(wǎng)絡(luò)(Artificial Neural Network,ANN)是一種類(lèi)似生物神經(jīng)網(wǎng)絡(luò)的信息處理結(jié)構(gòu),它的提出是為了解決一些非線性,非平穩(wěn),復(fù)雜的實(shí)際問(wèn)題。那有哪些辦法能實(shí)現(xiàn)人工神經(jīng)網(wǎng)絡(luò)呢?
2019-08-01 08:06:21

什么是深度學(xué)習(xí)?使用FPGA進(jìn)行深度學(xué)習(xí)的好處?

FPGA實(shí)現(xiàn)。易于適應(yīng)新的神經(jīng)網(wǎng)絡(luò)結(jié)構(gòu)深度學(xué)習(xí)是一個(gè)非?;钴S的研究領(lǐng)域,每天都在設(shè)計(jì)新的 DNN。其中許多結(jié)合了現(xiàn)有的標(biāo)準(zhǔn)計(jì)算,但有些需要全新的計(jì)算方法。特別是在具有特殊結(jié)構(gòu)的網(wǎng)絡(luò)難以在 GPU
2023-02-17 16:56:59

什么是LSTM神經(jīng)網(wǎng)絡(luò)

簡(jiǎn)單理解LSTM神經(jīng)網(wǎng)絡(luò)
2021-01-28 07:16:57

從AlexNet到MobileNet,帶你入門(mén)深度神經(jīng)網(wǎng)絡(luò)

摘要: 在2018年3月13日云棲社區(qū),來(lái)自哈爾濱工業(yè)大學(xué)的沈俊楠分享了典型模式-深度神經(jīng)網(wǎng)絡(luò)入門(mén)。本文詳細(xì)介紹了關(guān)于深度神經(jīng)網(wǎng)絡(luò)的發(fā)展歷程,并詳細(xì)介紹了各個(gè)階段模型的結(jié)構(gòu)及特點(diǎn)。哈爾濱工業(yè)大學(xué)的沈
2018-05-08 15:57:47

使用keras搭建神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)基于深度學(xué)習(xí)算法的股票價(jià)格預(yù)測(cè)

本文使用keras搭建神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)基于深度學(xué)習(xí)算法的股票價(jià)格預(yù)測(cè)。本文使用的數(shù)據(jù)來(lái)源為tushare,一個(gè)免費(fèi)開(kāi)源接口;且只取開(kāi)票價(jià)進(jìn)行預(yù)測(cè)。import numpy as npimport
2022-02-08 06:40:03

使用全卷積網(wǎng)絡(luò)模型實(shí)現(xiàn)圖像分割

OpenCv-C++-深度神經(jīng)網(wǎng)絡(luò)(DNN)模塊-使用FCN模型實(shí)現(xiàn)圖像分割
2019-05-28 07:33:35

關(guān)于BP神經(jīng)網(wǎng)絡(luò)預(yù)測(cè)模型的確定??!

請(qǐng)問(wèn)用matlab編程進(jìn)行BP神經(jīng)網(wǎng)絡(luò)預(yù)測(cè)時(shí),訓(xùn)練結(jié)果很多都是合適的,但如何確定最合適的?且如何用最合適的BP模型進(jìn)行外推預(yù)測(cè)?
2014-02-08 14:23:06

卷積神經(jīng)網(wǎng)絡(luò)模型發(fā)展及應(yīng)用

十余年來(lái)快速發(fā)展的嶄新領(lǐng)域,越來(lái)越受到研究者的關(guān)注。卷積神經(jīng)網(wǎng)絡(luò)(CNN)模型深度學(xué)習(xí)模型中最重要的一種經(jīng)典結(jié)構(gòu),其性能在近年來(lái)深度學(xué)習(xí)任務(wù)逐步提高。由于可以自動(dòng)學(xué)習(xí)樣本數(shù)據(jù)的特征表示,卷積
2022-08-02 10:39:39

卷積神經(jīng)網(wǎng)絡(luò)CNN介紹

深度學(xué)習(xí)】卷積神經(jīng)網(wǎng)絡(luò)CNN
2020-06-14 18:55:37

卷積神經(jīng)網(wǎng)絡(luò)深度卷積網(wǎng)絡(luò):實(shí)例探究及學(xué)習(xí)總結(jié)

深度學(xué)習(xí)工程師-吳恩達(dá)》03卷積神經(jīng)網(wǎng)絡(luò)深度卷積網(wǎng)絡(luò):實(shí)例探究 學(xué)習(xí)總結(jié)
2020-05-22 17:15:57

卷積神經(jīng)網(wǎng)絡(luò)如何使用

卷積神經(jīng)網(wǎng)絡(luò)(CNN)究竟是什么,鑒于神經(jīng)網(wǎng)絡(luò)在工程經(jīng)歷了曲折的歷史,您為什么還會(huì)在意它呢? 對(duì)于這些非常中肯的問(wèn)題,我們似乎可以給出相對(duì)簡(jiǎn)明的答案。
2019-07-17 07:21:50

發(fā)布MCU跑的輕量神經(jīng)網(wǎng)絡(luò)包 NNoM, 讓MCU也神經(jīng)一把

多種復(fù)雜的網(wǎng)絡(luò)模型結(jié)構(gòu)。完善的文檔: 擁有 API 文檔,入門(mén)指南,優(yōu)化指南。入門(mén)簡(jiǎn)單: 多個(gè)從簡(jiǎn)單到復(fù)雜的例子,完全開(kāi)源。MCU 神經(jīng)網(wǎng)絡(luò)能做什么?語(yǔ)音關(guān)鍵詞識(shí)別 (KeyWord
2019-05-01 19:03:01

可分離卷積神經(jīng)網(wǎng)絡(luò)在 Cortex-M 處理器實(shí)現(xiàn)關(guān)鍵詞識(shí)別

我們可以對(duì)神經(jīng)網(wǎng)絡(luò)架構(gòu)進(jìn)行優(yōu)化,使之適配微控制器的內(nèi)存和計(jì)算限制范圍,并且不會(huì)影響精度。我們將在本文中解釋和探討深度可分離卷積神經(jīng)網(wǎng)絡(luò)在 Cortex-M 處理器實(shí)現(xiàn)關(guān)鍵詞識(shí)別的潛力。關(guān)鍵詞識(shí)別
2021-07-26 09:46:37

在STM32驗(yàn)證神經(jīng)網(wǎng)絡(luò)模型

STM32CubeMx.AI的使用歡迎使用Markdown編輯器在STM32論壇中看到這樣一個(gè)視頻:在視頻中,在STM32驗(yàn)證神經(jīng)網(wǎng)絡(luò)模型(HAR人體活動(dòng)識(shí)別),一般需要STM32-F3/F4/L4/F7/L7系列高性能單片機(jī),運(yùn)行網(wǎng)絡(luò)模型一般需要3MB以上的閃存空間,單片機(jī)顯然不支持這...
2021-08-03 06:59:41

在xr806板子如何實(shí)現(xiàn)用ncnn跑神經(jīng)網(wǎng)絡(luò)mnis呢

在xr806板子如何實(shí)現(xiàn)用ncnn跑神經(jīng)網(wǎng)絡(luò)mnis呢?
2021-12-28 06:51:07

基于FPGA神經(jīng)網(wǎng)絡(luò)的性能評(píng)估及局限性

FPGA實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò)關(guān)鍵問(wèn)題分析基于FPGA的ANN實(shí)現(xiàn)方法基于FPGA神經(jīng)網(wǎng)絡(luò)的性能評(píng)估及局限性
2021-04-30 06:58:13

基于深度神經(jīng)網(wǎng)絡(luò)的激光雷達(dá)物體識(shí)別系統(tǒng)

的激光雷達(dá)物體識(shí)別技術(shù)一直難以在嵌入式平臺(tái)上實(shí)時(shí)運(yùn)行。經(jīng)緯恒潤(rùn)經(jīng)過(guò)潛心研發(fā),攻克了深度神經(jīng)網(wǎng)絡(luò)在嵌入式平臺(tái)部署所面臨的算子定制與加速、量化策略、模型壓縮等難題,率先實(shí)現(xiàn)了高性能激光檢測(cè)神經(jīng)網(wǎng)絡(luò)并成功地在嵌入式平臺(tái)(德州儀TI TDA4系列)完成部署。系統(tǒng)功能目前該系統(tǒng):?支持接入禾賽Pandar 40和
2021-12-21 07:59:18

基于深度神經(jīng)網(wǎng)絡(luò)的激光雷達(dá)物體識(shí)別系統(tǒng)及其嵌入式平臺(tái)部署

基于深度神經(jīng)網(wǎng)絡(luò)的激光雷達(dá)物體識(shí)別系統(tǒng)及其嵌入式平臺(tái)部署
2021-01-04 06:26:23

基于神經(jīng)網(wǎng)絡(luò)混沌吸引子公鑰加密算法的FPGA實(shí)現(xiàn)

【作者】:劉晉明;劉年生;【來(lái)源】:《廈門(mén)大學(xué)學(xué)報(bào)(自然科學(xué)版)》2010年02期【摘要】:利用具有順序和并行執(zhí)行的特點(diǎn)的VHDL語(yǔ)言,設(shè)計(jì)并實(shí)現(xiàn)了基于神經(jīng)網(wǎng)絡(luò)混沌吸引子的公鑰加密算法,在編
2010-04-24 09:15:41

基于賽靈思FPGA的卷積神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)設(shè)計(jì)

FPGA 實(shí)現(xiàn)卷積神經(jīng)網(wǎng)絡(luò) (CNN)。CNN 是一類(lèi)深度神經(jīng)網(wǎng)絡(luò),在處理大規(guī)模圖像識(shí)別任務(wù)以及與機(jī)器學(xué)習(xí)類(lèi)似的其他問(wèn)題方面已大獲成功。在當(dāng)前案例中,針對(duì)在 FPGA 實(shí)現(xiàn) CNN 做一個(gè)可行性研究
2019-06-19 07:24:41

如何使用TensorFlow將神經(jīng)網(wǎng)絡(luò)模型部署到移動(dòng)或嵌入式設(shè)備

有很多方法可以將經(jīng)過(guò)訓(xùn)練的神經(jīng)網(wǎng)絡(luò)模型部署到移動(dòng)或嵌入式設(shè)備。不同的框架在各種平臺(tái)上支持Arm,包括TensorFlow、PyTorch、Caffe2、MxNet和CNTK,如Android
2023-08-02 06:43:57

如何利用SoPC實(shí)現(xiàn)神經(jīng)網(wǎng)絡(luò)速度控制器?

不確定因素影響,并且隨著可編程片系統(tǒng)SoPC和大規(guī)?,F(xiàn)場(chǎng)可編程門(mén)陣列FPGA的出現(xiàn),為神經(jīng)網(wǎng)絡(luò)控制器的硬件實(shí)現(xiàn)提供了新的載體。
2019-08-12 06:25:35

如何構(gòu)建神經(jīng)網(wǎng)絡(luò)?

原文鏈接:http://tecdat.cn/?p=5725 神經(jīng)網(wǎng)絡(luò)是一種基于現(xiàn)有數(shù)據(jù)創(chuàng)建預(yù)測(cè)的計(jì)算系統(tǒng)。如何構(gòu)建神經(jīng)網(wǎng)絡(luò)?神經(jīng)網(wǎng)絡(luò)包括:輸入層:根據(jù)現(xiàn)有數(shù)據(jù)獲取輸入的層隱藏層:使用反向傳播優(yōu)化輸入變量權(quán)重的層,以提高模型的預(yù)測(cè)能力輸出層:基于輸入和隱藏層的數(shù)據(jù)輸出預(yù)測(cè)
2021-07-12 08:02:11

如何移植一個(gè)CNN神經(jīng)網(wǎng)絡(luò)FPGA中?

訓(xùn)練一個(gè)神經(jīng)網(wǎng)絡(luò)并移植到Lattice FPGA,通常需要開(kāi)發(fā)人員既要懂軟件又要懂?dāng)?shù)字電路設(shè)計(jì),是個(gè)不容易的事。好在FPGA廠商為我們提供了許多工具和IP,我們可以在這些工具和IP的基礎(chǔ)
2020-11-26 07:46:03

如何設(shè)計(jì)BP神經(jīng)網(wǎng)絡(luò)圖像壓縮算法?

(Digital Signal Processor)相比,現(xiàn)場(chǎng)可編程門(mén)陣列(Field Programma-ble Gate Array,FPGA)在神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)更具優(yōu)勢(shì)。DSP處理器在處理時(shí)采用指令順序執(zhí)行
2019-08-08 06:11:30

嵌入式中的人工神經(jīng)網(wǎng)絡(luò)的相關(guān)資料分享

人工神經(jīng)網(wǎng)絡(luò)在AI中具有舉足輕重的地位,除了找到最好的神經(jīng)網(wǎng)絡(luò)模型和訓(xùn)練數(shù)據(jù)集之外,人工神經(jīng)網(wǎng)絡(luò)的另一個(gè)挑戰(zhàn)是如何在嵌入式設(shè)備實(shí)現(xiàn)它,同時(shí)優(yōu)化性能和功率效率。 使用云計(jì)算并不總是一個(gè)選項(xiàng),尤其是當(dāng)
2021-11-09 08:06:27

怎么解決人工神經(jīng)網(wǎng)絡(luò)并行數(shù)據(jù)處理的問(wèn)題

本文提出了一個(gè)基于FPGA 的信息處理的實(shí)例:一個(gè)簡(jiǎn)單的人工神經(jīng)網(wǎng)絡(luò)應(yīng)用Verilog 語(yǔ)言描述,該數(shù)據(jù)流采用模塊化的程序設(shè)計(jì),并考慮了模塊間數(shù)據(jù)傳輸信號(hào)同 步的問(wèn)題,有效地解決了人工神經(jīng)網(wǎng)絡(luò)并行數(shù)據(jù)處理的問(wèn)題。
2021-05-06 07:22:07

有人做過(guò)神經(jīng)網(wǎng)絡(luò)FPGA實(shí)現(xiàn)嗎?

例如BP神經(jīng)網(wǎng)絡(luò)
2018-03-07 19:44:24

求基于labview的BP神經(jīng)網(wǎng)絡(luò)算法的實(shí)現(xiàn)過(guò)程

求高手,基于labview的BP神經(jīng)網(wǎng)絡(luò)算法的實(shí)現(xiàn)過(guò)程,最好有程序哈,謝謝?。?/div>
2012-12-10 14:55:50

FPGA實(shí)現(xiàn)大型神經(jīng)網(wǎng)絡(luò)的設(shè)計(jì)

1、加速神經(jīng)網(wǎng)絡(luò)的必備開(kāi)源項(xiàng)目  到底純FPGA適不適合這種大型神經(jīng)網(wǎng)絡(luò)的設(shè)計(jì)?這個(gè)問(wèn)題其實(shí)我們不適合回答,但是FPGA廠商是的實(shí)際操作是很有權(quán)威性的,現(xiàn)在不論是Intel還是Xilinx都沒(méi)有在
2022-10-24 16:10:50

脈沖耦合神經(jīng)網(wǎng)絡(luò)FPGA實(shí)現(xiàn)誰(shuí)會(huì)?

脈沖耦合神經(jīng)網(wǎng)絡(luò)(PCNN)在FPGA實(shí)現(xiàn)實(shí)現(xiàn)數(shù)據(jù)分類(lèi)功能,有報(bào)酬。QQ470345140.
2013-08-25 09:57:14

解析深度學(xué)習(xí):卷積神經(jīng)網(wǎng)絡(luò)原理與視覺(jué)實(shí)踐

解析深度學(xué)習(xí):卷積神經(jīng)網(wǎng)絡(luò)原理與視覺(jué)實(shí)踐
2020-06-14 22:21:12

請(qǐng)問(wèn)Labveiw如何調(diào)用matlab訓(xùn)練好的神經(jīng)網(wǎng)絡(luò)模型呢?

我在matlab中訓(xùn)練好了一個(gè)神經(jīng)網(wǎng)絡(luò)模型,想在labview中調(diào)用,請(qǐng)問(wèn)應(yīng)該怎么做呢?或者labview有自己的神經(jīng)網(wǎng)絡(luò)工具包嗎?
2018-07-05 17:32:32

輕量化神經(jīng)網(wǎng)絡(luò)的相關(guān)資料下載

原文鏈接:【嵌入式AI部署&基礎(chǔ)網(wǎng)絡(luò)篇】輕量化神經(jīng)網(wǎng)絡(luò)精述--MobileNet V1-3、ShuffleNet V1-2、NasNet深度神經(jīng)網(wǎng)絡(luò)模型被廣泛應(yīng)用在圖像分類(lèi)、物體檢測(cè)等機(jī)器
2021-12-14 07:35:25

非局部神經(jīng)網(wǎng)絡(luò),打造未來(lái)神經(jīng)網(wǎng)絡(luò)基本組件

`將非局部計(jì)算作為獲取長(zhǎng)時(shí)記憶的通用模塊,提高神經(jīng)網(wǎng)絡(luò)性能在深度神經(jīng)網(wǎng)絡(luò)中,獲取長(zhǎng)時(shí)記憶(long-range dependency)至關(guān)重要。對(duì)于序列數(shù)據(jù)(例如語(yǔ)音、語(yǔ)言),遞歸運(yùn)算
2018-11-12 14:52:50

基于NARMAX模型的小波神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)

提出了一種基于NARMAX模型的小波神經(jīng)網(wǎng)絡(luò)結(jié)構(gòu)確定和權(quán)系數(shù)估計(jì)算法.采用NARMAX模型和雙正交小波函數(shù)來(lái)構(gòu)造小波神經(jīng)網(wǎng)絡(luò),識(shí)別人臉圖像,實(shí)驗(yàn)結(jié)果表明用本文構(gòu)造的小波神經(jīng)網(wǎng)絡(luò)
2011-09-27 17:31:1928

基于遞歸神經(jīng)網(wǎng)絡(luò)和前饋神經(jīng)網(wǎng)絡(luò)深度學(xué)習(xí)預(yù)測(cè)算法

蛋白質(zhì)二級(jí)結(jié)構(gòu)預(yù)測(cè)是結(jié)構(gòu)生物學(xué)中的一個(gè)重要問(wèn)題。針對(duì)八類(lèi)蛋白質(zhì)二級(jí)結(jié)構(gòu)預(yù)測(cè),提出了一種基于遞歸神經(jīng)網(wǎng)絡(luò)和前饋神經(jīng)網(wǎng)絡(luò)深度學(xué)習(xí)預(yù)測(cè)算法。該算法通過(guò)雙向遞歸神經(jīng)網(wǎng)絡(luò)建模氨基酸間的局部和長(zhǎng)程相互作用
2017-12-03 09:41:149

深度神經(jīng)決策樹(shù):深度神經(jīng)網(wǎng)絡(luò)和樹(shù)模型結(jié)合的新模型

近日,來(lái)自愛(ài)丁堡大學(xué)的研究人員提出了一種結(jié)合深度神經(jīng)網(wǎng)絡(luò)和樹(shù)模型的新型模型——深度神經(jīng)決策樹(shù)(Deep Neural Decision Trees, DNDT)。
2018-08-19 09:14:4411857

一種遞歸神經(jīng)網(wǎng)絡(luò)FPGA平臺(tái)上的實(shí)現(xiàn)方案詳解

計(jì)算量的方案。本文將闡釋深度學(xué)習(xí)和FPGA各自的結(jié)構(gòu)特點(diǎn)以及為什么用FPGA加速深度學(xué)習(xí)是有效的,并且將介紹一種遞歸神經(jīng)網(wǎng)絡(luò)(RNN)在FPGA平臺(tái)上的實(shí)現(xiàn)方案。
2018-09-12 16:53:301992

如何使用遞歸神經(jīng)網(wǎng)絡(luò)進(jìn)行納稅評(píng)估預(yù)警模型概述

納稅評(píng)估是一項(xiàng)重要而復(fù)雜的工作。針對(duì)目前尚無(wú)十分有效的納稅評(píng)估預(yù)警模型的情況,提出利用遞歸神經(jīng)網(wǎng)絡(luò)(RNN)建立納稅評(píng)估預(yù)警模型的方法,利用RNN的方法選出有涉稅疑點(diǎn)的企業(yè),解決了預(yù)警模型無(wú)疑點(diǎn)指向性的問(wèn)題。通過(guò)建立行業(yè)的納稅評(píng)估預(yù)警模型,并進(jìn)行驗(yàn)證分析,表明該方法可行。
2018-11-16 10:42:0111

快速了解神經(jīng)網(wǎng)絡(luò)深度學(xué)習(xí)的教程資料免費(fèi)下載

本文檔的詳細(xì)介紹的是快速了解神經(jīng)網(wǎng)絡(luò)深度學(xué)習(xí)的教程資料免費(fèi)下載主要內(nèi)容包括了:機(jī)器學(xué)習(xí)概述,線性模型,前饋神經(jīng)網(wǎng)絡(luò),卷積神經(jīng)網(wǎng)絡(luò),循環(huán)神經(jīng)網(wǎng)絡(luò)網(wǎng)絡(luò)優(yōu)化與正則化,記憶與注意力機(jī)制,無(wú)監(jiān)督學(xué)習(xí),概率圖模型,玻爾茲曼機(jī),深度信念網(wǎng)絡(luò),深度生成模型,深度強(qiáng)化學(xué)習(xí)
2019-02-11 08:00:0025

如何基于深度神經(jīng)網(wǎng)絡(luò)設(shè)計(jì)一個(gè)端到端的自動(dòng)駕駛模型

如何基于深度神經(jīng)網(wǎng)絡(luò)設(shè)計(jì)一個(gè)端到端的自動(dòng)駕駛模型?如何設(shè)計(jì)一個(gè)基于增強(qiáng)學(xué)習(xí)的自動(dòng)駕駛決策系統(tǒng)?
2019-04-29 16:44:054404

綜述深度學(xué)習(xí)的卷積神經(jīng)網(wǎng)絡(luò)模型應(yīng)用及發(fā)展

上逐步提高。由于可以自動(dòng)學(xué)習(xí)樣本數(shù)據(jù)的特征表示,卷積神經(jīng)網(wǎng)絡(luò)已經(jīng)廣泛應(yīng)用于圖像分類(lèi)、目標(biāo)檢測(cè)、語(yǔ)乂分割以及自然語(yǔ)言處理等領(lǐng)域。首先分析了典型卷積神經(jīng)網(wǎng)絡(luò)模型為提髙其性能増加網(wǎng)絡(luò)深度以及寬度的模型結(jié)構(gòu),分析了采用注
2021-04-02 15:29:0420

深度神經(jīng)網(wǎng)絡(luò)模型的壓縮和優(yōu)化綜述

近年來(lái),隨著深度學(xué)習(xí)的飛速發(fā)展,深度神經(jīng)網(wǎng)絡(luò)受到了越來(lái)越多的關(guān)注,在許多應(yīng)用領(lǐng)域取得了顯著效果。通常,在較高的計(jì)算量下,深度神經(jīng)網(wǎng)絡(luò)的學(xué)習(xí)能力隨著網(wǎng)絡(luò)深度的増加而不斷提高,因此深度神經(jīng)網(wǎng)絡(luò)在大型
2021-04-12 10:26:5920

神經(jīng)網(wǎng)絡(luò)模型原理

神經(jīng)網(wǎng)絡(luò)模型原理介紹說(shuō)明。
2021-04-21 09:40:467

基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)硬件實(shí)現(xiàn)

基于FPGA的RBF神經(jīng)網(wǎng)絡(luò)硬件實(shí)現(xiàn)說(shuō)明。
2021-04-28 11:24:2325

基于FPGA神經(jīng)網(wǎng)絡(luò)硬件實(shí)現(xiàn)方法

基于FPGA神經(jīng)網(wǎng)絡(luò)硬件實(shí)現(xiàn)方法說(shuō)明。
2021-06-01 09:35:1637

什么是神經(jīng)網(wǎng)絡(luò)?什么是卷積神經(jīng)網(wǎng)絡(luò)

在介紹卷積神經(jīng)網(wǎng)絡(luò)之前,我們先回顧一下神經(jīng)網(wǎng)絡(luò)的基本知識(shí)。就目前而言,神經(jīng)網(wǎng)絡(luò)深度學(xué)習(xí)算法的核心,我們所熟知的很多深度學(xué)習(xí)算法的背后其實(shí)都是神經(jīng)網(wǎng)絡(luò)。
2023-02-23 09:14:442252

用Python從頭實(shí)現(xiàn)一個(gè)神經(jīng)網(wǎng)絡(luò)來(lái)理解神經(jīng)網(wǎng)絡(luò)的原理1

有個(gè)事情可能會(huì)讓初學(xué)者驚訝:神經(jīng)網(wǎng)絡(luò)模型并不復(fù)雜!『神經(jīng)網(wǎng)絡(luò)』這個(gè)詞讓人覺(jué)得很高大上,但實(shí)際上神經(jīng)網(wǎng)絡(luò)算法要比人們想象的簡(jiǎn)單。 這篇文章完全是為新手準(zhǔn)備的。我們會(huì)通過(guò)用Python從頭實(shí)現(xiàn)一個(gè)神經(jīng)網(wǎng)絡(luò)來(lái)理解神經(jīng)網(wǎng)絡(luò)的原理。本文的脈絡(luò)是:
2023-02-27 15:05:34451

用Python從頭實(shí)現(xiàn)一個(gè)神經(jīng)網(wǎng)絡(luò)來(lái)理解神經(jīng)網(wǎng)絡(luò)的原理2

有個(gè)事情可能會(huì)讓初學(xué)者驚訝:神經(jīng)網(wǎng)絡(luò)模型并不復(fù)雜!『神經(jīng)網(wǎng)絡(luò)』這個(gè)詞讓人覺(jué)得很高大上,但實(shí)際上神經(jīng)網(wǎng)絡(luò)算法要比人們想象的簡(jiǎn)單。 這篇文章完全是為新手準(zhǔn)備的。我們會(huì)通過(guò)用Python從頭實(shí)現(xiàn)一個(gè)神經(jīng)網(wǎng)絡(luò)來(lái)理解神經(jīng)網(wǎng)絡(luò)的原理。本文的脈絡(luò)是:
2023-02-27 15:06:13377

用Python從頭實(shí)現(xiàn)一個(gè)神經(jīng)網(wǎng)絡(luò)來(lái)理解神經(jīng)網(wǎng)絡(luò)的原理3

有個(gè)事情可能會(huì)讓初學(xué)者驚訝:神經(jīng)網(wǎng)絡(luò)模型并不復(fù)雜!『神經(jīng)網(wǎng)絡(luò)』這個(gè)詞讓人覺(jué)得很高大上,但實(shí)際上神經(jīng)網(wǎng)絡(luò)算法要比人們想象的簡(jiǎn)單。 這篇文章完全是為新手準(zhǔn)備的。我們會(huì)通過(guò)用Python從頭實(shí)現(xiàn)一個(gè)神經(jīng)網(wǎng)絡(luò)來(lái)理解神經(jīng)網(wǎng)絡(luò)的原理。本文的脈絡(luò)是:
2023-02-27 15:06:18467

用Python從頭實(shí)現(xiàn)一個(gè)神經(jīng)網(wǎng)絡(luò)來(lái)理解神經(jīng)網(wǎng)絡(luò)的原理4

有個(gè)事情可能會(huì)讓初學(xué)者驚訝:神經(jīng)網(wǎng)絡(luò)模型并不復(fù)雜!『神經(jīng)網(wǎng)絡(luò)』這個(gè)詞讓人覺(jué)得很高大上,但實(shí)際上神經(jīng)網(wǎng)絡(luò)算法要比人們想象的簡(jiǎn)單。 這篇文章完全是為新手準(zhǔn)備的。我們會(huì)通過(guò)用Python從頭實(shí)現(xiàn)一個(gè)神經(jīng)網(wǎng)絡(luò)來(lái)理解神經(jīng)網(wǎng)絡(luò)的原理。本文的脈絡(luò)是:
2023-02-27 15:06:21443

淺析三種主流深度神經(jīng)網(wǎng)絡(luò)

神經(jīng)網(wǎng)絡(luò)(MLP),卷積神經(jīng)網(wǎng)絡(luò)(CNN)和遞歸神經(jīng)網(wǎng)絡(luò)(RNN)。 2、什么是深度神經(jīng)網(wǎng)絡(luò) 機(jī)器學(xué)習(xí)是一門(mén)多領(lǐng)域交叉學(xué)科,專(zhuān)門(mén)研究計(jì)算機(jī)怎樣模擬或實(shí)現(xiàn)人類(lèi)的學(xué)習(xí)行為,以獲取新的知識(shí)或技能,重新組織已有的知識(shí)結(jié)構(gòu)使之不斷改善自身的性能。它是人工
2023-05-15 14:20:01549

三個(gè)最流行神經(jīng)網(wǎng)絡(luò)

在本文中,我們將了解深度神經(jīng)網(wǎng)絡(luò)的基礎(chǔ)知識(shí)和三個(gè)最流行神經(jīng)網(wǎng)絡(luò):多層神經(jīng)網(wǎng)絡(luò)(MLP),卷積神經(jīng)網(wǎng)絡(luò)(CNN)和遞歸神經(jīng)網(wǎng)絡(luò)(RNN)。
2023-05-15 14:19:181096

PyTorch教程之從零開(kāi)始的遞歸神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn)

電子發(fā)燒友網(wǎng)站提供《PyTorch教程之從零開(kāi)始的遞歸神經(jīng)網(wǎng)絡(luò)實(shí)現(xiàn).pdf》資料免費(fèi)下載
2023-06-05 09:55:210

PyTorch教程9.6之遞歸神經(jīng)網(wǎng)絡(luò)的簡(jiǎn)潔實(shí)現(xiàn)

電子發(fā)燒友網(wǎng)站提供《PyTorch教程9.6之遞歸神經(jīng)網(wǎng)絡(luò)的簡(jiǎn)潔實(shí)現(xiàn).pdf》資料免費(fèi)下載
2023-06-05 09:56:100

PyTorch教程10.3之深度遞歸神經(jīng)網(wǎng)絡(luò)

電子發(fā)燒友網(wǎng)站提供《PyTorch教程10.3之深度遞歸神經(jīng)網(wǎng)絡(luò).pdf》資料免費(fèi)下載
2023-06-05 15:12:030

PyTorch教程10.4之雙向遞歸神經(jīng)網(wǎng)絡(luò)

電子發(fā)燒友網(wǎng)站提供《PyTorch教程10.4之雙向遞歸神經(jīng)網(wǎng)絡(luò).pdf》資料免費(fèi)下載
2023-06-05 15:13:290

淺析三種主流深度神經(jīng)網(wǎng)絡(luò)

(MLP),卷積神經(jīng)網(wǎng)絡(luò)(CNN)和遞歸神經(jīng)網(wǎng)絡(luò)(RNN)。2、什么是深度神經(jīng)網(wǎng)絡(luò)機(jī)器學(xué)習(xí)是一門(mén)多領(lǐng)域交叉學(xué)科,專(zhuān)門(mén)研究計(jì)算機(jī)怎樣模擬或實(shí)現(xiàn)人類(lèi)的學(xué)習(xí)行為,以獲取
2023-05-17 09:59:19945

卷積神經(jīng)網(wǎng)絡(luò)原理:卷積神經(jīng)網(wǎng)絡(luò)模型和卷積神經(jīng)網(wǎng)絡(luò)算法

一。其主要應(yīng)用領(lǐng)域在計(jì)算機(jī)視覺(jué)和自然語(yǔ)言處理中,最初是由Yann LeCun等人在20世紀(jì)80年代末和90年代初提出的。隨著近年來(lái)計(jì)算機(jī)硬件性能的提升和深度學(xué)習(xí)技術(shù)的發(fā)展,CNN在很多領(lǐng)域取得了重大的進(jìn)展和應(yīng)用。 一、卷積神經(jīng)網(wǎng)絡(luò)模型 (一)卷積層(Convolutional Layer) 卷積神經(jīng)網(wǎng)絡(luò)
2023-08-17 16:30:30806

卷積神經(jīng)網(wǎng)絡(luò)模型有哪些?卷積神經(jīng)網(wǎng)絡(luò)包括哪幾層內(nèi)容?

卷積神經(jīng)網(wǎng)絡(luò)模型有哪些?卷積神經(jīng)網(wǎng)絡(luò)包括哪幾層內(nèi)容? 卷積神經(jīng)網(wǎng)絡(luò)(Convolutional Neural Networks,CNN)是深度學(xué)習(xí)領(lǐng)域中最廣泛應(yīng)用的模型之一,主要應(yīng)用于圖像、語(yǔ)音
2023-08-21 16:41:521305

卷積神經(jīng)網(wǎng)絡(luò)模型原理 卷積神經(jīng)網(wǎng)絡(luò)模型結(jié)構(gòu)

卷積神經(jīng)網(wǎng)絡(luò)模型原理 卷積神經(jīng)網(wǎng)絡(luò)模型結(jié)構(gòu)? 卷積神經(jīng)網(wǎng)絡(luò)是一種深度學(xué)習(xí)神經(jīng)網(wǎng)絡(luò),是在圖像、語(yǔ)音、文本和視頻等方面的任務(wù)中最有效的神經(jīng)網(wǎng)絡(luò)之一。它的總體思想是使用在輸入數(shù)據(jù)之上的一系列過(guò)濾器來(lái)捕捉
2023-08-21 16:41:58603

卷積神經(jīng)網(wǎng)絡(luò)深度神經(jīng)網(wǎng)絡(luò)的優(yōu)缺點(diǎn) 卷積神經(jīng)網(wǎng)絡(luò)深度神經(jīng)網(wǎng)絡(luò)的區(qū)別

深度神經(jīng)網(wǎng)絡(luò)是一種基于神經(jīng)網(wǎng)絡(luò)的機(jī)器學(xué)習(xí)算法,其主要特點(diǎn)是由多層神經(jīng)元構(gòu)成,可以根據(jù)數(shù)據(jù)自動(dòng)調(diào)整神經(jīng)元之間的權(quán)重,從而實(shí)現(xiàn)對(duì)大規(guī)模數(shù)據(jù)進(jìn)行預(yù)測(cè)和分類(lèi)。卷積神經(jīng)網(wǎng)絡(luò)深度神經(jīng)網(wǎng)絡(luò)的一種,主要應(yīng)用于圖像和視頻處理領(lǐng)域。
2023-08-21 17:07:361860

常見(jiàn)的卷積神經(jīng)網(wǎng)絡(luò)模型 典型的卷積神經(jīng)網(wǎng)絡(luò)模型

常見(jiàn)的卷積神經(jīng)網(wǎng)絡(luò)模型 典型的卷積神經(jīng)網(wǎng)絡(luò)模型 卷積神經(jīng)網(wǎng)絡(luò)(Convolutional Neural Network, CNN)是深度學(xué)習(xí)中最流行的模型之一,其結(jié)構(gòu)靈活,處理圖像、音頻、自然語(yǔ)言
2023-08-21 17:11:411641

cnn卷積神經(jīng)網(wǎng)絡(luò)模型 卷積神經(jīng)網(wǎng)絡(luò)預(yù)測(cè)模型 生成卷積神經(jīng)網(wǎng)絡(luò)模型

cnn卷積神經(jīng)網(wǎng)絡(luò)模型 卷積神經(jīng)網(wǎng)絡(luò)預(yù)測(cè)模型 生成卷積神經(jīng)網(wǎng)絡(luò)模型? 卷積神經(jīng)網(wǎng)絡(luò)(Convolutional Neural Network,CNN)是一種深度學(xué)習(xí)神經(jīng)網(wǎng)絡(luò),最初被廣泛應(yīng)用于計(jì)算機(jī)
2023-08-21 17:11:47680

卷積神經(jīng)網(wǎng)絡(luò)模型搭建

卷積神經(jīng)網(wǎng)絡(luò)模型搭建 卷積神經(jīng)網(wǎng)絡(luò)模型是一種深度學(xué)習(xí)算法。它已經(jīng)成為了計(jì)算機(jī)視覺(jué)和自然語(yǔ)言處理等各種領(lǐng)域的主流算法,具有很大的應(yīng)用前景。本篇文章將詳細(xì)介紹卷積神經(jīng)網(wǎng)絡(luò)模型的搭建過(guò)程,為讀者提供一份
2023-08-21 17:11:49543

卷積神經(jīng)網(wǎng)絡(luò)模型的優(yōu)缺點(diǎn)

卷積神經(jīng)網(wǎng)絡(luò)模型的優(yōu)缺點(diǎn)? 卷積神經(jīng)網(wǎng)絡(luò)(Convolutional Neural Network,CNN)是一種從圖像、視頻、聲音和一系列多維信號(hào)中進(jìn)行學(xué)習(xí)的深度學(xué)習(xí)模型。它在計(jì)算機(jī)視覺(jué)、語(yǔ)音識(shí)別
2023-08-21 17:15:191881

構(gòu)建神經(jīng)網(wǎng)絡(luò)模型的常用方法 神經(jīng)網(wǎng)絡(luò)模型的常用算法介紹

神經(jīng)網(wǎng)絡(luò)模型是一種通過(guò)模擬生物神經(jīng)元間相互作用的方式實(shí)現(xiàn)信息處理和學(xué)習(xí)的計(jì)算機(jī)模型。它能夠?qū)斎霐?shù)據(jù)進(jìn)行分類(lèi)、回歸、預(yù)測(cè)和聚類(lèi)等任務(wù),已經(jīng)廣泛應(yīng)用于計(jì)算機(jī)視覺(jué)、自然語(yǔ)言處理、語(yǔ)音處理等領(lǐng)域。下面將就神經(jīng)網(wǎng)絡(luò)模型的概念和工作原理,構(gòu)建神經(jīng)網(wǎng)絡(luò)模型的常用方法以及神經(jīng)網(wǎng)絡(luò)模型算法介紹進(jìn)行詳細(xì)探討。
2023-08-28 18:25:27582

已全部加載完成