電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>入門(mén)級(jí)FPGA學(xué)習(xí)平臺(tái)伴你玩轉(zhuǎn)Altera FPGA:板級(jí)電路整體架構(gòu)

入門(mén)級(jí)FPGA學(xué)習(xí)平臺(tái)伴你玩轉(zhuǎn)Altera FPGA:板級(jí)電路整體架構(gòu)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

3G入門(mén)級(jí)資料

3G入門(mén)級(jí)資料
2012-11-19 16:40:23

Altera FPGA開(kāi)發(fā)上的基本電路

異步SRAM存儲(chǔ)器接口電路設(shè)計(jì)(Altera FPGA開(kāi)發(fā))如圖所示:FLASH存儲(chǔ)器接口電路圖(Altera FPGA開(kāi)發(fā))高速SDRAM存儲(chǔ)器接口電路設(shè)計(jì)(Altera FPGA開(kāi)發(fā))如下
2012-08-16 18:49:43

FPGA 四層PCB設(shè)計(jì)圖

FPGA 四層PCB設(shè)計(jì)圖,入門(mén)級(jí)必學(xué)教程
2019-04-10 16:42:52

FPGA入門(mén)級(jí)培訓(xùn)

`問(wèn)渠那得清如許,為有源頭活水來(lái)!FPGA入門(mén)級(jí)課程來(lái)了!`
2018-10-11 08:32:45

FPGA入門(mén)級(jí)資料

本人菜鳥(niǎo),求FPGA入門(mén)級(jí)資料,謝謝{:1:}
2014-01-16 23:56:48

FPGA級(jí)電路設(shè)計(jì)的五要素

不同,FPGA器件的學(xué)習(xí)僅靠一臺(tái)PC機(jī)是不夠的。對(duì)于任何一個(gè)學(xué)習(xí)者而言,能夠擁有一塊板載FPGA器件的電路板平臺(tái)是非常必要的。當(dāng)然了,前期的開(kāi)發(fā)調(diào)試肯定也還是離不開(kāi)PC機(jī)。和基于PC機(jī)的軟件編程(如在
2019-01-25 06:27:02

FPGA實(shí)戰(zhàn)演練邏輯篇9:FPGA級(jí)電路設(shè)計(jì)五要素

不同,FPGA器件的學(xué)習(xí)僅靠一臺(tái)PC機(jī)是不夠的。對(duì)于任何一個(gè)學(xué)習(xí)者而言,能夠擁有一塊板載FPGA器件的電路板平臺(tái)是非常必要的。當(dāng)然了,前期的開(kāi)發(fā)調(diào)試肯定也還是離不開(kāi)PC機(jī)。和基于PC機(jī)的軟件編程(如在
2015-04-01 11:04:11

FPGA開(kāi)發(fā),初學(xué)小白必備!

`SANXIN - B01 開(kāi)發(fā)為叁芯智能公司-郝旭帥團(tuán)隊(duì)打造的 INTEL(原 ALTERAFPGA 入門(mén)級(jí)產(chǎn)品,面向初學(xué)者,性?xún)r(jià)比高,齊全的配套學(xué)習(xí)資料,資深工程師提供專(zhuān)業(yè)的技術(shù)支持服務(wù)
2020-10-21 10:53:35

fpga開(kāi)發(fā)實(shí)用教程下載

fpga開(kāi)發(fā)實(shí)用教程下載FPGA入門(mén)級(jí)的教程,介紹的非常詳細(xì),適合初學(xué)者學(xué)習(xí)。
2008-06-04 10:47:11

入門(mén)級(jí)PCB四層設(shè)計(jì)圖

入門(mén)級(jí)PCB設(shè)計(jì)圖,必學(xué)
2019-04-10 16:44:12

入門(mén)級(jí)工具套件有什么功能?

) 入門(mén)級(jí)工具套件的更新版本,提供突破性的TCA管理控制器解決方案,包括首個(gè)通過(guò)網(wǎng)絡(luò)控制器—邊帶接口(Network Controller Sideband Interface, NC-SI) 標(biāo)準(zhǔn)以
2019-08-26 08:03:32

ARM嵌入式入門(mén)級(jí)教程

ARM嵌入式入門(mén)級(jí)教程
2012-08-14 21:44:14

Labview 入門(mén)級(jí)教程

Labview 7.0 入門(mén)級(jí)資料
2015-12-17 09:18:40

STM32 入門(mén)級(jí)塔式學(xué)習(xí)開(kāi)發(fā)

最近設(shè)計(jì)了一款基于STM32F103RBT6的入門(mén)級(jí)學(xué)習(xí)開(kāi)發(fā),其中設(shè)計(jì)使用功能分層設(shè)計(jì),跟一個(gè)“塔”相似,可以任意組合,可以實(shí)現(xiàn)都功能多任務(wù)實(shí)驗(yàn),可以滿(mǎn)足簡(jiǎn)單到復(fù)雜的系統(tǒng)開(kāi)發(fā)使用,也希望大家能多提
2018-10-22 09:52:41

【專(zhuān)輯精選】嵌入式FPGA開(kāi)發(fā)學(xué)習(xí)書(shū)籍與資料匯總

接口視頻教程時(shí)序圖贊閱讀解析練習(xí)講解命令書(shū)籍資料:《勇敢的芯伴你玩轉(zhuǎn)Altera FPGA》電子版 下載 (FPGA初學(xué)者首選)書(shū)籍:FPGA開(kāi)發(fā)全攻略電子版(超清,上下冊(cè)全)玩轉(zhuǎn)FPGA,這些資料不要
2019-05-17 18:19:21

【芯航線FPGA學(xué)習(xí)平臺(tái)眾籌進(jìn)度帖】芯航線FPGA開(kāi)發(fā)平臺(tái)設(shè)計(jì)初衷

FPGA電路板PCB,而且也學(xué)習(xí)過(guò)FPGA技術(shù),因此找他做電路板是最合適不過(guò)的了,更加可貴的是,他也一直都有著創(chuàng)業(yè)的心,只是一直苦于沒(méi)有合適的機(jī)會(huì)。另外,由于我們的開(kāi)發(fā),后期會(huì)開(kāi)發(fā)基于NIOS的相關(guān)
2015-09-14 21:27:43

七天玩轉(zhuǎn)Altera學(xué)習(xí)FPGA必經(jīng)之路

七天玩轉(zhuǎn)Altera學(xué)習(xí)FPGA必經(jīng)之路包括基礎(chǔ)篇、時(shí)序篇和驗(yàn)證篇三個(gè)部分。http://srfitnesspt.com/soft/5/2012/20120815284605.html
2014-11-05 14:07:06

七天玩轉(zhuǎn)FPGA,快速FPGA入門(mén)及提升

七天玩轉(zhuǎn)FPGA,快速FPGA入門(mén)及提升。FPGA初學(xué)者可以下載學(xué)習(xí)一下,很有效果。
2013-03-22 21:57:52

例說(shuō)FPGA連載15:硬件整體架構(gòu)設(shè)計(jì)

`例說(shuō)FPGA連載15:硬件整體架構(gòu)設(shè)計(jì)特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1c0nf6Qc 如圖2.8所示,FPGA核心電路架構(gòu)主要有
2016-08-01 18:19:50

例說(shuō)FPGA連載9:FPGA級(jí)電路設(shè)計(jì)五要素

不同,FPGA器件的學(xué)習(xí)僅靠一臺(tái)PC機(jī)是不夠的。對(duì)于任何一個(gè)學(xué)習(xí)者而言,能夠擁有一塊板載FPGA器件的電路板平臺(tái)是非常必要的。當(dāng)然了,前期的開(kāi)發(fā)調(diào)試肯定也還是離不開(kāi)PC機(jī)。和基于PC機(jī)的軟件編程(如在
2016-07-18 16:24:54

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載100:基于LED顯示的DA輸

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載100:基于LED顯示的DA輸出驅(qū)動(dòng)實(shí)例特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUDDA芯片
2018-12-02 17:51:29

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載101:基于按鍵調(diào)整和數(shù)碼管顯示的DA輸出實(shí)例

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載101:基于按鍵調(diào)整和數(shù)碼管顯示的DA輸出實(shí)例特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD
2018-12-22 17:15:08

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載102:波形發(fā)生器

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載102:波形發(fā)生器特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD功能簡(jiǎn)介該實(shí)例工程的功能框圖
2018-12-27 16:17:09

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載103:基于數(shù)碼管顯示的AD采集實(shí)例

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載103:基于數(shù)碼管顯示的AD采集實(shí)例特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUDAD芯片
2019-01-17 18:53:57

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載104:AD和DA聯(lián)合測(cè)試

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載104:AD和DA聯(lián)合測(cè)試特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD功能簡(jiǎn)介該實(shí)例工程
2019-01-26 16:52:56

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載105:RTC時(shí)間的LCD顯示和UART設(shè)置

``勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載105:RTC時(shí)間的LCD顯示和UART設(shè)置特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD
2019-02-28 16:25:44

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載10:電源電路

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載10:電源電路特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 與任何電子元器件一樣,FPGA
2017-10-17 22:01:37

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載13:實(shí)驗(yàn)平臺(tái)復(fù)位電路解析

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載13:實(shí)驗(yàn)平臺(tái)復(fù)位電路解析特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD FPGA的時(shí)鐘
2017-10-23 20:37:22

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載14:FPGA下載配置電路

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載14:FPGA下載配置電路特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 上世紀(jì)八十年代
2017-10-24 21:26:26

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載15:SRAM接口電路

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載15:SRAM接口電路特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 如圖2.14所示
2017-10-25 21:31:02

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載16:AD/DA芯片電路

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載16:AD/DA芯片電路特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 如圖2.15所示
2017-10-26 21:23:31

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載17:UART接口電路

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載17:UART接口電路特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD FPGA與UART
2017-10-28 20:05:14

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載18:RTC接口電路

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載18:RTC接口電路特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD FPGA與RTC外設(shè)連接
2017-11-02 20:12:05

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載19:4X4矩陣按鍵電路

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載19:4X4矩陣按鍵電路特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD FPGA與4X4
2017-11-03 18:46:36

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載20:VGA顯示接口電路

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載20:VGA顯示接口電路特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD FPGA與VGA
2017-11-07 19:14:38

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載21:蜂鳴器、數(shù)碼管、流水燈、撥碼開(kāi)關(guān)電路

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載21:蜂鳴器、數(shù)碼管、流水燈、撥碼開(kāi)關(guān)電路特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD
2017-11-17 19:12:26

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載22:超聲波接口、外擴(kuò)LCD接口電路

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載22:超聲波接口、外擴(kuò)LCD接口電路特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD
2017-11-20 21:14:20

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載26:內(nèi)里本質(zhì)探索——器件結(jié)構(gòu) 上

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載26:內(nèi)里本質(zhì)探索——器件結(jié)構(gòu) 上特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 在第1章里
2017-11-21 22:28:24

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載29:語(yǔ)法學(xué)習(xí)的經(jīng)驗(yàn)之談

編譯、下載配置文件到目標(biāo)電路板中。入門(mén)級(jí)學(xué)習(xí)套件,簡(jiǎn)單的說(shuō),就是一塊板載FPGA器件的電路板,這塊電路板不需要有很多高級(jí)的外設(shè),一些簡(jiǎn)單的常見(jiàn)外設(shè)即可(如蜂鳴器、流水燈、數(shù)碼管、UART、I2C等
2017-12-04 21:11:46

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載2: FPGA、ASIC和ASSP

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載2: FPGA、ASIC和ASSP特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD FPGA
2017-09-21 22:00:39

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載35:Verilog代碼風(fēng)格概述

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載35:Verilog代碼風(fēng)格概述特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 所謂
2017-12-27 10:07:45

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載49:PWM蜂鳴器驅(qū)動(dòng)之引腳分配

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載49:PWM蜂鳴器驅(qū)動(dòng)之引腳分配特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD
2018-02-27 21:50:07

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載4:Verilog與VHDL

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載4:Verilog與VHDL特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD Verilog
2017-09-26 21:07:34

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載51:Altera FPGA配置方式概述

```勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載51:Altera FPGA配置方式概述特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD
2018-03-04 22:12:49

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載52:Altera FPGA配置方式之AS/PS/JTAG配置方式

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載52:Altera FPGA配置方式之AS/PS/JTAG配置方式特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com
2018-03-05 16:30:35

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載5: Altera、Xilinx和Lattice

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載5: Altera、Xilinx和Lattice特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s
2017-09-27 19:15:53

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載61:PLL概述

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載61:PLL概述特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD PLL(Phase
2018-04-10 21:57:51

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載69:LCD基本驅(qū)動(dòng)實(shí)例

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載69:LCD基本驅(qū)動(dòng)實(shí)例特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD LCD的接口時(shí)序波形
2018-05-09 21:07:36

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載6:FPGA的優(yōu)勢(shì)在哪里

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載6:FPGA的優(yōu)勢(shì)在哪里特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 若要準(zhǔn)確評(píng)估FPGA
2017-10-07 20:19:04

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載72:RTL Viewer、State Machine Viewer與Technology Map

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載72:RTL Viewer、State Machine Viewer與Technology MapViewer特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接
2018-05-21 20:53:43

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載78:FPGA片內(nèi)ROM實(shí)例之功能概述

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載78:FPGA片內(nèi)ROM實(shí)例之功能概述特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 該工程
2018-06-16 19:39:24

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載79:FPGA片內(nèi)ROM實(shí)例之ROM初始化文檔創(chuàng)建

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載79:FPGA片內(nèi)ROM實(shí)例之ROM初始化文檔創(chuàng)建特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s
2018-06-20 22:04:28

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載7:FPGA應(yīng)用領(lǐng)域

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載7:FPGA應(yīng)用領(lǐng)域特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD FPGA目前雖然還受制于
2017-10-09 18:53:07

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載83:FPGA片內(nèi)RAM實(shí)例之功能概述

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載83:FPGA片內(nèi)RAM實(shí)例之功能概述特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 該
2018-06-30 17:16:32

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載85:FPGA片內(nèi)RAM實(shí)例之RAM配置

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載85:FPGA片內(nèi)RAM實(shí)例之RAM配置特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 在
2018-07-17 22:15:28

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載8:FPGA開(kāi)發(fā)流程

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載8:FPGA開(kāi)發(fā)流程特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 如圖1.9所示。這個(gè)
2017-10-12 21:02:44

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載92:基于均值濾波處理的超聲波測(cè)距回響脈寬計(jì)數(shù)

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載92:基于均值濾波處理的超聲波測(cè)距回響脈寬計(jì)數(shù)特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD
2018-09-18 20:24:03

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載97:基于UART發(fā)送的RTC讀取

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載97:基于UART發(fā)送的RTC讀取特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 功能簡(jiǎn)介
2018-11-15 12:31:25

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載98:基于UART收發(fā)的RTC讀寫(xiě)

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載98:基于UART收發(fā)的RTC讀寫(xiě)特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD功能簡(jiǎn)介如圖
2018-11-19 19:45:49

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載99:基于UART控制的VGA多模式顯示

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載99:基于UART控制的VGA多模式顯示特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD
2018-11-25 20:33:48

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載9:級(jí)電路整體架構(gòu)

勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載9:級(jí)電路整體架構(gòu)特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD 如圖2.1所示,“勇敢
2017-10-17 21:43:04

勇敢的芯玩轉(zhuǎn)Altera FPGA

`勇敢的芯伴你玩轉(zhuǎn)Altera FPGA連載1:FPGA是什么特權(quán)同學(xué),版權(quán)所有配套例程和更多資料下載鏈接:http://pan.baidu.com/s/1i5LMUUD FPGA是什么簡(jiǎn)單來(lái)說(shuō)
2017-09-19 21:52:57

基于Altera Cyclone IV FPGA 器件的入門(mén)級(jí)FPGA學(xué)習(xí)平臺(tái)

一、級(jí)電路整體架構(gòu)我接下來(lái)一段時(shí)間學(xué)習(xí)的就是“勇敢的芯”FPGA 實(shí)驗(yàn)平臺(tái),它是特權(quán)同學(xué)和至芯科技攜手打造的一款基于Altera Cyclone IV FPGA 器件的入門(mén)級(jí) FPGA 學(xué)習(xí)平臺(tái)
2021-11-17 07:46:27

如何學(xué)習(xí)FPGA看了就知道

這門(mén)技術(shù)。網(wǎng)絡(luò)上各種開(kāi)發(fā)、培訓(xùn)班更是多如牛毛,仿佛在告訴你不懂FPGA你就OUT啦。那么我們要玩轉(zhuǎn)FPGA必須具備哪些基礎(chǔ)知識(shí)呢?如何學(xué)習(xí)FPGA呢?下面我們慢慢道來(lái)?! 。ㄒ唬?要了解什么是FPGA
2020-12-23 17:49:18

新手求推薦入門(mén)級(jí)fpga板子

大家好!我準(zhǔn)備開(kāi)始學(xué)習(xí)fpga,求大家推薦一個(gè)入門(mén)級(jí)fpga板子,最終目標(biāo)是實(shí)現(xiàn)用fpga控制cmos sensor進(jìn)行實(shí)時(shí)圖像采集并傳輸?shù)絧c保存我對(duì)fpga開(kāi)發(fā)是零基礎(chǔ),有數(shù)電和編程基礎(chǔ)謝謝!//bow
2015-06-23 20:57:10

模擬電路入門(mén)級(jí)

模擬電路,protel入門(mén)級(jí)的,必須懂的
2013-05-22 20:21:23

FPGA入門(mén)資料

本帖最后由 eehome 于 2013-1-5 09:55 編輯 哪位大俠有FPGA入門(mén)級(jí)的資料,跪求之!?。£P(guān)于FPGA可以實(shí)現(xiàn)哪些功能,進(jìn)行哪些方面的功能擴(kuò)展,比如內(nèi)嵌硬核、軟核
2012-07-22 08:45:34

求stm32入門(mén)級(jí)資料

如題,請(qǐng)推薦點(diǎn)入門(mén)級(jí)資料,多謝
2013-04-09 09:55:14

求助DSP的入門(mén)級(jí)資料

請(qǐng)問(wèn)各位,誰(shuí)有DSP的入門(mén)級(jí)資料可以共享一下的,特別是DM642的資料,如果誰(shuí)有興趣討論交流,也可以加我為好友,謝謝?。?!
2012-10-08 14:04:32

求助。FPGA開(kāi)發(fā)

想買(mǎi)個(gè)入門(mén)級(jí)FPGA開(kāi)發(fā),求推薦。上完EDA課對(duì)這個(gè)很有興趣,樓主是個(gè)菜鳥(niǎo),求大神推薦{:12:}
2013-11-04 14:39:28

特權(quán)同學(xué)新書(shū)《勇敢的芯伴你玩轉(zhuǎn)Altera FPGA》電子版 下載 (FPGA初學(xué)者首選)

1.4FPGA開(kāi)發(fā)流程第2章實(shí)驗(yàn)平臺(tái)“勇敢的芯”級(jí)電路詳解2.1級(jí)電路整體架構(gòu)2.2電源電路2.3復(fù)位與時(shí)鐘電路2.3.1關(guān)于FPGA器件的時(shí)鐘2.3.2關(guān)于FPGA器件的復(fù)位2.3.3實(shí)驗(yàn)平臺(tái)電路
2017-10-11 20:59:02

經(jīng)濟(jì)高效的入門(mén)級(jí)系統(tǒng)平臺(tái)

研華公司近期新推出了一款經(jīng)濟(jì)高效的入門(mén)級(jí)系統(tǒng)平臺(tái)。研華ARK-1310擁有緊湊型、無(wú)風(fēng)扇的鋁制機(jī)箱外殼,可安裝在任何大型系統(tǒng)中,也可作為獨(dú)立平臺(tái)單獨(dú)應(yīng)用。ARK-1310小巧緊湊、堅(jiān)固耐用,因此非常適合各種惡劣環(huán)境應(yīng)用和自動(dòng)化控制應(yīng)用。
2019-07-23 06:21:45

至芯科技FPGA入門(mén)級(jí)開(kāi)發(fā)評(píng)測(cè)活動(dòng),送開(kāi)發(fā)

至芯科技FPGA入門(mén)級(jí)開(kāi)發(fā)評(píng)測(cè)活動(dòng),送開(kāi)發(fā)歡迎大家參與,參與地址:至芯科技論壇 百度搜索至芯科技論壇,進(jìn)入論壇頂置帖子即可看到此活動(dòng)。歡迎大家參與。
2014-03-31 22:36:22

請(qǐng)問(wèn)有入門(mén)級(jí)FPGA開(kāi)發(fā)推薦嗎?

推薦一下FPGA開(kāi)發(fā),以及比較經(jīng)典的入門(mén)教材、書(shū)籍黑金開(kāi)發(fā)就算了,屌絲買(mǎi)不起。
2019-04-22 02:58:00

賽靈思FPGA初學(xué)者 必備圖書(shū) 特權(quán)同學(xué)新書(shū)《勇敢的芯伴你玩轉(zhuǎn)賽靈思 FPGA

地引領(lǐng)讀者從級(jí)設(shè)計(jì)、基礎(chǔ)入門(mén)實(shí)例、FPGA片內(nèi)資源應(yīng)用實(shí)例和綜合進(jìn)階實(shí)例等方面,玩轉(zhuǎn)FPGA邏輯設(shè)計(jì)。本書(shū)基于特定的FPGA實(shí)驗(yàn)平臺(tái),既有足夠的理論知識(shí)深度作支撐,也有豐富的例程進(jìn)行實(shí)踐學(xué)習(xí),并且穿插著
2017-11-27 12:23:53

通向FPGA之路---七天玩轉(zhuǎn)Altera教程

本系列教程的宗旨是在力求全面介紹Altera及其QuartusII軟件原理的基礎(chǔ)上,對(duì)何如使用Altera FPGA進(jìn)行基礎(chǔ)設(shè)計(jì)、時(shí)序分析、驗(yàn)證、優(yōu)化四大方面進(jìn)行講解通向FPGA之路---七天玩轉(zhuǎn)
2012-12-04 14:36:51

斑梨電子FPGA CycloneII EP2C5T144 學(xué)習(xí) 開(kāi)發(fā)

斑梨電子FPGA CycloneII EP2C5T144 學(xué)習(xí) 開(kāi)發(fā)產(chǎn)品參數(shù)1. 采用ALTERA公司的CyclonellEP2C5T144芯片作為核心最小系統(tǒng),將FPGA
2023-02-03 15:14:29

ST系列-STM32MP135核心開(kāi)發(fā)-入門(mén)級(jí)MPU設(shè)計(jì)平臺(tái)-米爾電子

STM32MP135核心開(kāi)發(fā)-入門(mén)級(jí)MPU設(shè)計(jì)平臺(tái)基于STM32MP135新一代通用工業(yè)級(jí)MPU,單核Cortex-A7@1.0GHz,具有極高的性?xún)r(jià)比;支持2個(gè)千兆以太網(wǎng)接口、 2個(gè)CAN
2023-06-14 15:34:51

七天玩轉(zhuǎn)Altera學(xué)習(xí)FPGA必經(jīng)之路

七天玩轉(zhuǎn)Altera學(xué)習(xí)FPGA必經(jīng)之路包括基礎(chǔ)篇、時(shí)序篇和驗(yàn)證篇三個(gè)部分。
2012-08-15 16:19:46744

Altera入門(mén)教程之通向FPGA之路七天玩轉(zhuǎn)Altera基礎(chǔ)篇免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是Altera入門(mén)教程之通向FPGA之路七天玩轉(zhuǎn)Altera基礎(chǔ)篇免費(fèi)下載。
2019-01-22 08:00:0051

Altera FPGA CPLD學(xué)習(xí)筆記

Altera FPGA CPLD學(xué)習(xí)筆記(肇慶理士電源技術(shù)有限)-Altera FPGA CPLD學(xué)習(xí)筆記? ? ? ? ? ? ? ? ?
2021-09-18 10:54:4179

學(xué)習(xí)日記——FPGA實(shí)驗(yàn)平臺(tái)板級(jí)電路詳解

一、板級(jí)電路整體架構(gòu)我接下來(lái)一段時(shí)間學(xué)習(xí)的就是“勇敢的芯”FPGA 實(shí)驗(yàn)平臺(tái),它是特權(quán)同學(xué)和至芯科技攜手打造的一款基于Altera Cyclone IV FPGA 器件的入門(mén)級(jí) FPGA 學(xué)習(xí)平臺(tái)
2021-11-10 09:21:0012

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(1)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(1)
2021-11-18 15:47:480

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(2)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(2)
2021-11-18 15:49:350

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(3)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(3)
2021-11-18 15:51:180

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(4)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(4)
2021-11-18 15:53:200

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(5)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(5)
2021-11-18 15:55:150

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(6)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(6)
2021-11-18 15:58:520

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(7)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(7)
2021-11-18 16:02:100

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(8)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(8)
2021-11-18 16:07:040

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(9)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(9)
2021-11-18 16:18:060

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(10)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(10)
2021-11-18 16:21:030

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(11)

設(shè)計(jì)參考書(shū)籍-Xilinx FPGA伴你玩轉(zhuǎn)USB3.0與LVDS(11)
2021-11-18 16:24:510

已全部加載完成