電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>基于CPLD的可編程高精度CCD信號發(fā)生器的設(shè)計(jì)方案

基于CPLD的可編程高精度CCD信號發(fā)生器的設(shè)計(jì)方案

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴

評論

查看更多

相關(guān)推薦

電阻可編程模擬溫度傳感器設(shè)計(jì)方案

TI的LM57是一款高精度、雙路輸出、集成的、模擬溫度傳感器溫度開關(guān)。通過使用兩個(gè)外部1%電阻,其跳閘溫度(TTRIP)是可編程的。
2014-07-11 10:10:271599

IDT推出其低功率可編程時(shí)鐘發(fā)生器

業(yè)界領(lǐng)先的全新 1.8V 版 VersaClock? 3S 可編程時(shí)鐘發(fā)生器,為消耗品和計(jì)算系統(tǒng)創(chuàng)造具有競爭力的性能、能耗和靈活性。
2017-09-28 10:15:468178

15份CCD驅(qū)動的文獻(xiàn)資料合集(基于FPGA、CPLD設(shè)計(jì)與實(shí)現(xiàn))

時(shí)序發(fā)生器的設(shè)計(jì),基于CPLD和VerilogHDL語言的一種線陣CCD驅(qū)動時(shí)序電路的設(shè)計(jì),基于CPLD和Verilog的高精度線陣CCD驅(qū)動電路設(shè)計(jì),基于CPLD和VHDL的一種線陣CCD驅(qū)動時(shí)序電路
2019-06-03 16:45:25

信號發(fā)生器的基本原理

純度都與頻率產(chǎn)生單元有關(guān),也是信號發(fā)生器性能的重要指標(biāo)。嘉兆科技擁有40年測試測量行業(yè)經(jīng)驗(yàn),專業(yè)的銷售、技術(shù)、服務(wù)團(tuán)隊(duì),在眾多領(lǐng)域都非常出色,包括:通用微波/射頻測試、無線通信測試、數(shù)據(jù)采集記錄與分析、振動與噪聲分析、電磁兼容測試、汽車安全測試、精密可編程測量電源、微波/射頻元器件、傳感等。`
2018-12-10 11:15:09

可編程斜坡發(fā)生器對音頻有好處嗎?

嗨,我正在尋找新的8位芯片,我看到有可編程斜坡發(fā)生器。你可以用一個(gè)可編程斜坡發(fā)生器嗎?看起來像三角形的PWM,而不是脈沖。它對音頻有好處嗎?和PWM有什么區(qū)別?謝謝您 以上來自于百度翻譯 以下
2019-05-08 09:31:59

可編程時(shí)脈發(fā)生器CY22150具有的特性應(yīng)用?

CY22150器件的特點(diǎn)及引腳功能可編程時(shí)脈發(fā)生器CY22150的應(yīng)用
2021-04-12 06:39:35

可編程邏輯器件FPGA/CPLD結(jié)構(gòu)與應(yīng)用

可編程邏輯器件FPGA/CPLD結(jié)構(gòu)與應(yīng)用.ppt
2017-01-21 20:34:49

可編程邏輯陣列fpga和cpld相關(guān)資料

可編程邏輯陣列fpga和cpld
2023-09-20 07:58:59

可編程音頻時(shí)鐘發(fā)生器MAX9485相關(guān)資料分享

可編程音頻時(shí)鐘發(fā)生器MAX9485資料下載內(nèi)容主要介紹了:MAX9485引腳功能MAX9485功能和特性MAX9485應(yīng)用范圍MAX9485內(nèi)部方框圖MAX9485極限參數(shù)MAX9485典型應(yīng)用電路
2021-04-02 06:21:48

可編程(設(shè)置)脈沖串發(fā)生器設(shè)計(jì)

可編程(設(shè)置)脈沖串發(fā)生器設(shè)計(jì):設(shè)定值為n(n取值范圍:1~9),則輸出n個(gè)連續(xù)脈沖的脈沖串;CP時(shí)鐘可由外部輸入。(參考芯片:4017) 求電路圖!
2013-05-29 08:49:11

高精度可編程電壓源

運(yùn)用ADI/Linear產(chǎn)品的超高精度可編程電壓源提供更清晰的器官和軟組織圖像,醫(yī)療專業(yè)人員將能更準(zhǔn)確地探知心臟問題、腫瘤、囊腫和身體各部分中的異常。這只是該可編程電壓源的諸多應(yīng)用之一。在今天的"組合參考電路"系列文章中,我們將介紹一款同時(shí)運(yùn)用ADI/Linear產(chǎn)品的超
2019-07-25 07:00:37

高精度PWM可編程的_RGB_LED_驅(qū)動芯片的設(shè)計(jì)實(shí)現(xiàn)

高精度PWM可編程的_RGB_LED_驅(qū)動芯片的設(shè)計(jì)實(shí)現(xiàn)
2013-09-29 15:13:46

高精度同步降壓控制提供輸出跟蹤和可編程裕度調(diào)節(jié)

DN364- 高精度同步降壓控制提供輸出跟蹤和可編程裕度調(diào)節(jié)
2019-08-16 14:25:42

AK8140??A可編程多時(shí)鐘發(fā)生器評估板簡介

AKD8140A Ver.2,AK8140??A可編程多時(shí)鐘發(fā)生器評估板。評估抖動性能和功能很容易
2020-07-27 15:01:46

FPGA可編程器件和CPLD可編程器件有哪些相同點(diǎn)和不同點(diǎn)

CPLD是什么?FPGA包含哪幾類可編程資源呢?FPGA可編程器件和CPLD可編程器件有哪些相同點(diǎn)和不同點(diǎn)?
2021-11-10 07:42:51

LM57可編程模擬溫度傳感

TI的LM57是一款高精度、雙路輸出、集成的、模擬溫度傳感溫度開關(guān)。通過使用兩個(gè)外部1%電阻,其跳閘溫度(TTRIP)是可編程的。
2020-04-26 09:27:45

【DIY信號發(fā)生器】 AD9833+51單片機(jī)方案

低功耗、可編程波形發(fā)生器,能夠產(chǎn)生正弦波、三角波和方波輸出。各種類型的檢測、信號激勵(lì)和時(shí)域反射(TDR)應(yīng)用都需要波形發(fā)生器。輸出頻率和相位可通過軟件進(jìn)行編程,調(diào)整簡單。無需外部元件。 單片機(jī):大家
2014-07-01 18:26:42

一種簡易高精度頻率信號發(fā)生器的設(shè)計(jì)

一種簡易高精度頻率信號發(fā)生器的設(shè)計(jì)
2012-05-08 17:45:03

一種簡易高精度頻率信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

簡易高精度頻率信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)
2015-04-01 16:45:32

什么是可編程邏輯控制?可編程邏輯控制有哪些特點(diǎn)?

什么是可編程邏輯控制?可編程邏輯控制主要有哪些特點(diǎn)?可編程邏輯控制主要有哪些應(yīng)用領(lǐng)域?
2021-07-05 06:00:06

介紹一種不錯(cuò)的基于DDS器件AD9851的信號發(fā)生器設(shè)計(jì)方案

求大佬分享一款基于DDS器件AD9851的信號發(fā)生器設(shè)計(jì)方案
2021-04-12 06:35:26

具有高精度可編程性和穩(wěn)定性的2端電流源

2端電流源具有高精度,可編程性和穩(wěn)定性 - 電力電子技術(shù)2009年5月
2019-08-19 14:11:04

分享一款不錯(cuò)的基于可編程邏輯器件PLD的數(shù)字電路設(shè)計(jì)方案

分享一款不錯(cuò)的基于可編程邏輯器件PLD的數(shù)字電路設(shè)計(jì)方案
2021-04-30 06:34:54

各項(xiàng)參數(shù)可編程的脈沖發(fā)生器

各項(xiàng)參數(shù)可編程的脈沖發(fā)生器
2012-05-06 11:24:14

基于lmk03806的高性能可編程時(shí)鐘發(fā)生器的設(shè)計(jì)與fpga實(shí)現(xiàn) 畢...

我要做畢業(yè)設(shè)計(jì) 叫 基于lmk03806的高性能可編程時(shí)鐘發(fā)生器的設(shè)計(jì)與fpga實(shí)現(xiàn),需要有protel 99se畫 lmk03806的原理圖和fpga的配置電路,用vhdl編程仿真,用fpga來配置lmk03806,求高手求助{:1:}
2013-05-03 23:06:27

如何設(shè)計(jì)一種基于CPLD可編程高精度CCD信號發(fā)生器?

設(shè)計(jì)一種基于CPLD可編程高精度CCD信號發(fā)生器,設(shè)計(jì)中充分利用CPLD可編程性,模擬出滿足系統(tǒng)要求的CD信號,輸出信號頻率達(dá)到1IMHZ。

怎么實(shí)現(xiàn)基于CPLD的函數(shù)信號發(fā)生器設(shè)計(jì)

DDFS的原理和特點(diǎn)是什么?基于CPLD的函數(shù)信號發(fā)生器設(shè)計(jì)
2021-05-08 08:44:40

數(shù)字可編程延遲發(fā)生器

你好我想問下,你們公司有可編程的延時(shí)發(fā)生器嗎,我想用來完成1ns的延時(shí),有沒有可以用的芯片推薦一下謝謝
2018-10-08 16:48:26

求一份利用PLD構(gòu)造高速脈沖信號發(fā)生器方案

可編程邏輯器件(PLD)經(jīng)歷了哪幾個(gè)發(fā)展階段?CPLD的基本原理是什么? 它有哪些功能?如何利用PLD去設(shè)計(jì)高速脈沖信號發(fā)生器?
2021-04-14 06:19:17

求一種新型系統(tǒng)級可編程芯片的設(shè)計(jì)方案

求一種新型系統(tǒng)級可編程芯片的設(shè)計(jì)方案
2021-05-06 07:44:32

用于電源管理的14位,多功能高精度可編程電流源

多功能高精度可編程電流源,采用DAC,運(yùn)算放大器和MOSFET晶體管
2019-08-05 08:37:06

需要可編程頻率發(fā)生器

我需要一個(gè)可編程頻率發(fā)生器,以產(chǎn)生頻率從20千赫到50千赫。如果分頻參數(shù)是可編程的,我可以使用FrqDeBER組件。但我理解,它不能從代碼中訪問。請幫忙。 以上來自于百度翻譯 以下為原文I
2018-10-08 16:19:59

用DSP實(shí)現(xiàn)CPLD方案現(xiàn)場可編程配置

結(jié)合繼電保護(hù)測試裝置的研制體會,介紹基于DSP 的CPLD方案現(xiàn)場可編程配置方法,給出硬件的配置連接、CPLD 配置數(shù)據(jù)的獲取與存儲方法和CPLD 在DSP 控制下的被動串行配置過程。設(shè)
2009-04-15 08:50:5529

用DSP實(shí)現(xiàn)CPLD方案現(xiàn)場可編程配置

結(jié)合繼電保護(hù)測試裝置的研制體會,介紹基于DSP 的CPLD方案現(xiàn)場可編程配置方法,給出硬件的配置連接、CPLD 配置數(shù)據(jù)的獲取與存儲方法和CPLD 在DSP 控制下的被動串行配置過程。設(shè)
2009-05-18 14:33:2416

基于AD9833的高精度可編程波形發(fā)生器系統(tǒng)設(shè)計(jì)

基于AD9833的高精度可編程波形發(fā)生器系統(tǒng)設(shè)計(jì):介紹一種基于AD9833的高精度可編程波形發(fā)生器系統(tǒng)解決方案,該系統(tǒng)具有可編程設(shè)置、波形頻率和峰峰值等功能,從而解決DDS輸出波
2009-05-26 23:37:57149

基于VHDL可編程m序列發(fā)生器的研制

提出一種可實(shí)現(xiàn)周期/初相位編程控制的m序列發(fā)生器邏輯 電路的設(shè)計(jì)方案。給出了VHDL與CPLD的實(shí)現(xiàn)方案。程序經(jīng)編譯、仿真、測試后,可以實(shí)現(xiàn)設(shè) 計(jì)要求。該器件在MCS51的控制下,實(shí)
2009-07-21 16:23:460

基于AD9854的高精度高頻信號發(fā)生器

基于AD9854的高精度高頻信號發(fā)生器:摘要:本文介紹利用ACEX1K50 控制AD9854 的高頻信號發(fā)生器, 他的特點(diǎn)在于精度高并可以快速的對事例觸發(fā)做出反應(yīng),以保證輸出頻率的穩(wěn)定, 本設(shè)計(jì)應(yīng)
2009-10-27 18:28:21166

基于PIC 的高精度矩形脈沖信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

基于PIC 的高精度矩形脈沖信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn) 概述:介紹以PIC18F4522I/ P 單片機(jī)作為主控制器,由CPLD、數(shù)模轉(zhuǎn)換電路、整形電路和運(yùn)算放大電路等組成的矩形
2010-04-21 11:19:0045

基于ARM2132設(shè)計(jì)的高精度信號發(fā)生器方案

基于ARM2132設(shè)計(jì)的高精度信號發(fā)生器方案 摘要: 信號發(fā)生器以單片機(jī)ARM2132 為核心, 完成處理鍵盤數(shù)據(jù)、控制DDS 芯片、控制夜晶顯示、控制數(shù)字電位器進(jìn)行幅值轉(zhuǎn)
2010-04-21 16:20:4075

基于PIC的高精度矩形脈沖信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

基于PIC的高精度矩形脈沖信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)摘 要:介紹以PIC18F4522I/ P 單片機(jī)作為主控制器,由CPLD、數(shù)模轉(zhuǎn)換電路、整形電路和運(yùn)算放大電路等組成的矩形
2010-05-05 11:05:3626

基于CPLD的線陣CCD驅(qū)動的實(shí)現(xiàn)

摘 要:本文以日本東芝公司的線陣CCD器件TCD1206SUP為例,在研究了線陣CCD器件工作原理和驅(qū)動電路波形的基礎(chǔ)上,介紹了采用圖形式層次設(shè)計(jì)方法,用復(fù)雜可編程邏輯器件(CPLD)設(shè)計(jì)線陣CCD
2010-07-15 13:42:3068

基于CPLD的三相多波形函數(shù)發(fā)生器設(shè)計(jì)

介紹了基于可編程邏輯器件CPLD 和直接數(shù)字頻率合成技術(shù)(DDS)的三相多波形函數(shù)發(fā)生器的基本原理,并在此基礎(chǔ)上給出了基于CPLD 的各模塊設(shè)計(jì)方法及其VHDL 源程序。
2010-07-19 16:55:1821

可編程時(shí)脈發(fā)生器CY22150及其應(yīng)用

數(shù)字產(chǎn)品必須要有時(shí)脈的控制,才能精確處理數(shù)字信號。CY22150是一款具有立即編程的時(shí)脈發(fā)生器,提出一種實(shí)際可行的接口電路設(shè)計(jì)方案。結(jié)合輸出頻率的計(jì)算說明了其內(nèi)部主要寄
2010-07-21 16:04:1727

基于CPLD的脈沖信號發(fā)生器的設(shè)計(jì)

提出了基于復(fù)雜可編程邏輯器件(Complex Programmable Logic Device, CPLD)16位的全數(shù)字脈沖信號發(fā)生器的設(shè)計(jì),可產(chǎn)生周期、占空比均可調(diào)的高穩(wěn)定性脈沖。此設(shè)計(jì)方法可用于DDS函數(shù)信號發(fā)生
2010-12-09 16:48:2986

高精度石英晶體鐘脈沖發(fā)生器

高精度石英晶體鐘脈沖發(fā)生器
2009-03-21 09:13:07578

可編程脈沖發(fā)生器電路圖

可編程脈沖發(fā)生器電路圖
2009-04-07 09:26:521206

可編程多種鳥聲發(fā)生器電路圖

可編程多種鳥聲發(fā)生器電路圖
2009-04-09 09:34:36529

CPLD在近程偵察雷達(dá)中的應(yīng)用?

 介紹了可編程邏輯器件(PLD)的發(fā)展和現(xiàn)狀、主要類型、特點(diǎn)及其選用準(zhǔn)則,并介紹了復(fù)雜可編程邏輯器件(CPLD)在近程偵察雷達(dá)多功能信號發(fā)生器信號處理器中的應(yīng)用。
2009-05-10 13:25:47411

可編程定時(shí)/計(jì)數(shù)器作脈沖信號發(fā)生器時(shí)提高輸出頻率準(zhǔn)確度的方法

【摘 要】 探討了用可編程定時(shí)/計(jì)數(shù)器作脈沖信號發(fā)生器時(shí),輸出頻率發(fā)生誤差的特點(diǎn),介紹了提高輸出頻率準(zhǔn)確度的方法,并給出了實(shí)驗(yàn)結(jié)果。
2009-05-10 20:05:151656

基于CPLD的三相多波形函數(shù)發(fā)生器設(shè)計(jì)

摘要: 介紹了基于可編程邏輯器件CPLD和直接數(shù)字頻率合成技術(shù)(DDS)的三相多波形函數(shù)發(fā)生器的基本原理,并在此基礎(chǔ)上給出了基于CPLD的各模塊設(shè)計(jì)方法及其VHDL
2009-06-20 15:28:52605

高精度PWM波發(fā)生器電路圖

高精度PWM波發(fā)生器電路圖
2009-07-15 16:52:291261

AD9833型高精度可編程波形發(fā)生器設(shè)計(jì)方案

AD9833型高精度可編程波形發(fā)生器設(shè)計(jì)方案 AD9833是ADI公司生產(chǎn)的一款低功耗,可編程波形發(fā)生器,能夠產(chǎn)生正弦波、三角波、方波輸出。波形發(fā)生器
2010-02-26 14:37:135751

基于LMP8358的高精度可編增益儀表放大器設(shè)計(jì)方案

基于LMP8358的高精度可編增益儀表放大器設(shè)計(jì)方案 NS 公司的LMP8358是高精度可編增益儀表放大器,通過SPI兼容的串口或并口可把增益編程為0,20,50,100,200
2010-04-09 11:42:471612

正弦信號發(fā)生器設(shè)計(jì)方案

正弦信號發(fā)生器設(shè)計(jì)方案1 引言    為了精確地輸出正弦波、調(diào)幅波、調(diào)頻波、PSK及
2010-04-15 15:34:417327

高精度三角波發(fā)生器

高精度三角波發(fā)生器 高精度三角波發(fā)生器如圖5.3-38A是由三只集成運(yùn)放組成的高精度三角波、方波產(chǎn)生電路。A1為積分電路,用來將方波轉(zhuǎn)換成三角波;A2為比
2010-04-22 14:45:569167

實(shí)用高精度鋸齒發(fā)生器

實(shí)用高精度鋸齒發(fā)生器 實(shí)用高精度鋸齒發(fā)生器如圖5.3-40所示。 圖5.3-40A
2010-04-22 14:59:17648

CPLD設(shè)計(jì)的函數(shù)信號發(fā)生器

CPLD設(shè)計(jì)的函數(shù)信號發(fā)生器 傳統(tǒng)的信號源設(shè)計(jì)常采用模擬分立元件或單片壓控函數(shù)發(fā)生器MAX038,可產(chǎn)生正弦波、方波、三角波,并通過調(diào)整外部元件改變輸出頻率,但由
2010-05-11 17:53:231934

CPLD設(shè)計(jì)的CCD信號發(fā)生器技術(shù)

本文設(shè)計(jì)了一種基于CPLD可編程高精度CCD信號發(fā)生器。充分利用CPLD可編程性.模擬出滿足系統(tǒng)要求的CD信號,輸出信號頻率
2010-06-19 11:14:45757

CPLD系統(tǒng)上的信號發(fā)生器設(shè)計(jì)

文中采用Quartus II開發(fā)平臺,基于可編程邏輯器件CPLD設(shè)計(jì)出多波形信號發(fā)生器,可輸出頻率、幅度可調(diào)的三角波、正弦波和方波。任意波形模塊可由用戶輸出用戶所需的特殊波形,滿足了
2011-07-04 11:13:571867

基于CPLD的數(shù)字可編程延遲單元的設(shè)計(jì)

本內(nèi)容提供了基于CPLD的數(shù)字可編程延遲單元的設(shè)計(jì),希望對大家有所幫助
2011-09-20 14:40:2830

DSP實(shí)現(xiàn)正弦信號發(fā)生器設(shè)計(jì)

結(jié)合DSP硬件特性,通過使用泰勒級數(shù)展開法得到設(shè)定參數(shù)的正弦波形輸出,達(dá)到設(shè)計(jì)目的。該信號發(fā)生器彌補(bǔ)了通常信號發(fā)生器模式固定,波形不可編程的缺點(diǎn),其具有實(shí)時(shí)性強(qiáng),波形精度
2011-10-19 15:14:33411

視頻信號發(fā)生器設(shè)計(jì)方案

視頻信號發(fā)生器設(shè)計(jì)方案除能產(chǎn)生多種數(shù)字化視頻外,還能靈活更改所產(chǎn)生的視頻各項(xiàng)參數(shù),因此視頻信號發(fā)生器具有一定應(yīng)用價(jià)值。
2011-12-28 16:08:471734

一種新型的正弦信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

為精確地輸出正弦波、調(diào)幅波、調(diào)頻波、PSK、ASK等信號及保證信號的高可靠性,設(shè)計(jì)出一種新型的正弦信號發(fā)生器。該正弦信號發(fā)生器可編程邏輯器件CPLD和單片機(jī)AT89S52為基礎(chǔ),采用數(shù)
2012-05-23 10:28:352632

基于FPGA的實(shí)時(shí)可編程高精度信號源設(shè)計(jì)

  以16 位高精度D/A轉(zhuǎn)換器為核心構(gòu)建波形重構(gòu)電路,將單片機(jī)和FPGA 組合實(shí)現(xiàn)總體控制,完成了基于FPGA的實(shí)時(shí)可編程高精度信號源設(shè)計(jì)。利用單片機(jī)集成的16 位高精度A/D 構(gòu)建了一個(gè)閉
2012-05-28 10:06:08930

基于DDS的可編程的波形發(fā)生器

給予DDS可編程的波形發(fā)生器,對三個(gè)不同頻段的波形信號進(jìn)行分析
2015-11-02 17:22:443

基于ARM與DDS的高精度正弦信號發(fā)生器設(shè)計(jì)

基于ARM與DDS的高精度正弦信號發(fā)生器設(shè)計(jì)
2016-01-04 15:02:290

一種簡易高精度頻率信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

一種簡易高精度頻率信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)。
2016-01-20 16:11:1529

AD9833型高精度可編程波形發(fā)生器及其應(yīng)用

AD9833型高精度可編程波形發(fā)生器及其應(yīng)用。
2016-01-22 14:42:310

基于CPLD的三相多波形函數(shù)發(fā)生器

整個(gè)設(shè)計(jì)采用MAX+ plus II開發(fā)平臺,VHDL編程實(shí)現(xiàn),基于可編程邏輯器件CPLD設(shè)計(jì)多波形信號發(fā)生器。用VHDL編程實(shí)現(xiàn),其設(shè)計(jì)過程簡單,極易修改,可移植性強(qiáng)。系統(tǒng)以CPLD為核心,采用直接數(shù)字合成技術(shù),輔以必要的模擬電路,構(gòu)成一個(gè)波形穩(wěn)定,精度較高的函數(shù)信號發(fā)生器。
2016-10-12 16:51:1014

DDS多波信號發(fā)生器的實(shí)現(xiàn)

詳細(xì)介紹了直接數(shù)字頻率合成器(DDS)的工作原理、基本結(jié)構(gòu)。在參考DDS 相關(guān)文獻(xiàn)的基礎(chǔ)上,提出了符合結(jié)構(gòu)的DDS 設(shè)計(jì)方案,利用DDS 技術(shù)設(shè)計(jì)了一種高頻率精度的多波形信號發(fā)生器,此設(shè)計(jì)基于可編程邏輯器件FPGA,采用Max+PlusⅡ開發(fā)平臺,由Verilog_HDL 編程實(shí)現(xiàn)。
2016-11-22 14:35:130

可編程邏輯器件FPGA/CPLD結(jié)構(gòu)與應(yīng)用

可編程邏輯器件FPGA/CPLD結(jié)構(gòu)與應(yīng)用
2016-12-11 23:38:390

基于DSP正弦信號發(fā)生器設(shè)計(jì)方案解析

形輸出,達(dá)到設(shè)計(jì)目的。該信號發(fā)生器彌補(bǔ)了通常信號發(fā)生器模式固定,波形不可編程的缺點(diǎn),其具有實(shí)時(shí)性強(qiáng),波形精度高,可方便調(diào)節(jié)頻率和幅度、穩(wěn)定性好等優(yōu)點(diǎn)。 關(guān)鍵詞:數(shù)字信號處理器;信號發(fā)生器;多通道緩沖串行口;獨(dú)立鍵盤 隨著計(jì)算機(jī)
2017-10-30 16:21:431

TB3140- 可編程斜坡發(fā)生器

電壓斜坡信號可用于需要電壓線性變化的電路應(yīng)用。它通常用作參考信號、斜率補(bǔ)償器或電壓掃描發(fā)生器。可編程斜坡發(fā)生器(Programmable Ramp Generator,PRG)外設(shè)無需處理器開銷即可
2018-03-22 15:11:474

15份描述CCD驅(qū)動的文獻(xiàn)資料合集免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的說明15份描述CCD驅(qū)動的文獻(xiàn)資料合集免費(fèi)下載,可以對CCD驅(qū)動的開發(fā)帶來幫助包括了:高精度線陣CCD的脈沖驅(qū)動與波形仿真,基于51單片機(jī)的線陣CCD驅(qū)動設(shè)計(jì),基于CPLD
2019-05-16 08:00:0016

采用5管單元的SRAM結(jié)構(gòu)實(shí)現(xiàn)CPLD可編程電路的設(shè)計(jì)

顯然,設(shè)計(jì)基于SRAM編程技術(shù)的CPLD可以很好解決上述應(yīng)用問題。CPLD的設(shè)計(jì)和實(shí)現(xiàn)的關(guān)鍵問題是核心可編程電路結(jié)構(gòu)的實(shí)現(xiàn)。因此,本文主要探討針對CPLD的核心可編程結(jié)構(gòu),如何設(shè)計(jì)具有相似功能且基于SRAM編程技術(shù)的電路結(jié)構(gòu),從而更好滿足動態(tài)重構(gòu)系統(tǒng)中實(shí)現(xiàn)復(fù)雜狀態(tài)機(jī)和譯碼電路的應(yīng)用。
2020-04-25 10:21:001687

AD9837: 低功耗、8.5 mW、2.3 V至5.5 V可編程波形發(fā)生器

AD9837: 低功耗、8.5 mW、2.3 V至5.5 V可編程波形發(fā)生器
2021-03-19 06:21:108

一種用于高精度ADC片上測試的信號發(fā)生器

本文設(shè)計(jì)了一款應(yīng)用于高精度ADC片上測試的高精度高線性度模擬三角波信號發(fā)生器,可為高達(dá)14 b的ADC靜態(tài)參數(shù)片上測
2021-04-05 08:21:002292

可編程邏輯陣列fpga和cpld說明

可編程邏輯陣列fpga和cpld說明。
2021-03-30 09:30:0525

AN-260:使用數(shù)字可編程延遲發(fā)生器

AN-260:使用數(shù)字可編程延遲發(fā)生器
2021-04-14 12:19:446

AD9500:數(shù)字可編程延遲發(fā)生器數(shù)據(jù)表

AD9500:數(shù)字可編程延遲發(fā)生器數(shù)據(jù)表
2021-04-18 16:19:279

AD5930:可編程掃頻和輸出猝發(fā)波形發(fā)生器數(shù)據(jù)表

AD5930:可編程掃頻和輸出猝發(fā)波形發(fā)生器數(shù)據(jù)表
2021-04-23 12:23:253

雙端電流源具有高精度、可編程性和穩(wěn)定性--電力電子技術(shù)2009年5月

雙端電流源具有高精度、可編程性和穩(wěn)定性--電力電子技術(shù)2009年5月
2021-04-23 18:31:449

DN364-高精度同步降壓控制器提供輸出跟蹤和可編程裕度

DN364-高精度同步降壓控制器提供輸出跟蹤和可編程裕度
2021-05-09 08:57:522

Q&A | 關(guān)于可編程信號發(fā)生器,您在使用中可能遇到的問題

虹科迷你射頻測試設(shè)備是模塊化可編程的射頻微波測試器件,虹科可編程數(shù)字信號發(fā)生器系列具有高達(dá)40GHz的高輸出電平和出色的頻譜純度,可以在連續(xù)波 和掃描頻率模式下工作,是工程和生產(chǎn)測試、現(xiàn)場測試等應(yīng)用中的理想無線測試設(shè)備。
2023-01-03 11:28:41423

高精度的石英可編程壓控溫補(bǔ)振蕩器

高精度的石英可編程壓控溫補(bǔ)振蕩器:YSV531PT系列,七大產(chǎn)品特點(diǎn),讓我們一起來了解下~
2023-08-28 11:35:08488

簡易信號發(fā)生器設(shè)計(jì)方案

電子發(fā)燒友網(wǎng)站提供《簡易信號發(fā)生器設(shè)計(jì)方案.pdf》資料免費(fèi)下載
2023-10-20 09:43:180

可編程高精度直流電源的優(yōu)勢及應(yīng)用

可編程高精度直流電源的優(yōu)勢及應(yīng)用? 可編程高精度直流電源是一種能夠提供穩(wěn)定直流電壓和電流輸出的設(shè)備。相比于傳統(tǒng)的直流電源,可編程高精度直流電源具有更為精確的穩(wěn)定性和控制能力。下面將詳細(xì)介紹可編程
2023-11-10 14:26:25458

已全部加載完成