電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>可編程邏輯>HDL語(yǔ)言及源代碼>BJ-EPM CPLD開(kāi)發(fā)板:VHDL入門(mén)例程4

BJ-EPM CPLD開(kāi)發(fā)板:VHDL入門(mén)例程4

123下一頁(yè)全文

本文導(dǎo)航

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫(xiě)或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴

評(píng)論

查看更多

相關(guān)推薦

基于EPM240T100C5的CPLD開(kāi)發(fā)保姆級(jí)環(huán)境搭建教程

基于EPM240T100C5的CPLD開(kāi)發(fā)保姆級(jí)環(huán)境搭建教程
2023-06-09 19:35:543062

BJ-EPM240V2原理圖

BJ-EPM240V2原理圖
2016-09-27 13:14:06

CPLD+STM32開(kāi)發(fā)板換FPGA,有換板的同學(xué)嗎?

需要1-2片EP4CE10芯片,有愿意交換的學(xué)友嗎我提供STM32F207+EPM1270或STM32F103+EPM570或EPM1270的開(kāi)發(fā)板需要雙核程序的也可提供QQ:630607815
2022-06-07 10:50:24

cpld與8051的總線接口vhdl設(shè)計(jì)源碼

cpld與8051的總線接口vhdl設(shè)計(jì)源碼cpld 與8051的總線接口VHDL源碼關(guān)于cpldbus51.VHD的說(shuō)明: 很久之前我也想在網(wǎng)上找一份cpld與8051的總線方式接口的VHD源碼
2012-08-10 18:56:47

開(kāi)發(fā)板里的CPLD原程序,一般廠商是不提供的,內(nèi)部資料,非常有用

本帖最后由 assingle 于 2011-2-18 14:03 編輯 開(kāi)發(fā)板里的CPLD內(nèi)部邏輯原理,一般廠商是不提供的,內(nèi)部資料,非常有用,希望能給大家有參考作用,開(kāi)發(fā)環(huán)境QUARTUS II 6.0,CPLDEPM240T100C5N,超便宜,比EPM7128性價(jià)比要好得多.附件下載:
2011-02-18 14:02:16

AG32開(kāi)發(fā)板的使用入門(mén)

幾款開(kāi)發(fā)板的使用方法*附件:AG32開(kāi)發(fā)板的使用入門(mén).pdf
2024-01-03 15:09:16

ALIENTEK開(kāi)發(fā)板最新例程更新介紹

ALIENTEK 開(kāi)發(fā)板最新例程_20111025ALIENTEK 開(kāi)發(fā)板最新例程_20111025.zip (1.23 MB )
2019-07-12 04:35:11

ALTERA FPGA/CPLD高配學(xué)習(xí)指南:入門(mén)和高級(jí)篇,教程十講全集

大家熟悉在CPLD/FPGA 開(kāi)發(fā)中一個(gè)關(guān)鍵的技術(shù)——狀態(tài)機(jī),并且簡(jiǎn)單介紹了一下RTL 視圖的使用。第三講:加/減計(jì)數(shù)器例程,講解了計(jì)數(shù)器的VHDL 語(yǔ)言的設(shè)計(jì)過(guò)程,以及硬件下載的方法,并且可以通過(guò)
2020-05-14 14:50:30

ATF1504-84開(kāi)發(fā)板的資料分享

描述ATF1504-84 開(kāi)發(fā)板Atmel ATF1504 是一款出色的老式 CPLD,支持 5V 和/或 3,3V。 ATF1504-ASV 是 3,3V 類(lèi)型,但它的 IO 始終是 5V 耐受
2022-09-02 06:15:13

ATF1504AS(L) CPLD開(kāi)發(fā)板資料分享

描述ATF1504AS(L) CPLD 開(kāi)發(fā)板
2022-08-12 06:52:51

ATK-Mini Linux開(kāi)發(fā)板-EMMC

ATK-Mini Linux開(kāi)發(fā)板-EMMC
2023-03-28 13:05:54

ATK-Mini Linux開(kāi)發(fā)板-NAND

ATK-Mini Linux開(kāi)發(fā)板-NAND
2023-03-28 13:05:54

Edison開(kāi)發(fā)板入門(mén)之環(huán)境搭建

Edison開(kāi)發(fā)板入門(mén)之環(huán)境搭建文檔由 Edison 官網(wǎng)而來(lái)第一步:Edison 和 arduino 的鏈接第二步:下載 Edison 的 Arduino IDE。(既是 Edison
2016-06-15 10:17:50

FPGA開(kāi)發(fā)板

入門(mén)買(mǎi)什么開(kāi)發(fā)板好?????
2013-04-19 15:10:49

N32G430C8L7_STB開(kāi)發(fā)板

N32G430C8L7_STB開(kāi)發(fā)板用于32位MCU N32G430C8L7的開(kāi)發(fā)
2023-03-31 12:05:12

N32G4FRML-STB開(kāi)發(fā)板

高性能32位N32G4FRM系列芯片的樣片開(kāi)發(fā)開(kāi)發(fā)板主MCU芯片型號(hào)N32G4FRMEL7
2023-03-31 12:05:12

QC-CPLD開(kāi)發(fā)板快速使用手冊(cè)

`QC-CPLD開(kāi)發(fā)板快速使用手冊(cè).pdf`
2013-10-06 08:11:50

STM32入門(mén)拿到開(kāi)發(fā)板怎么開(kāi)始

這里寫(xiě)自定義目錄標(biāo)題STM32入門(mén)拿到開(kāi)發(fā)板怎么開(kāi)始參考資料基礎(chǔ)基本外設(shè)基本外設(shè)接口STM32入門(mén)拿到開(kāi)發(fā)板怎么入手拿到開(kāi)發(fā)板怎么開(kāi)始1.先看光盤(pán)目錄 了解資料2.找到核心學(xué)習(xí)資料 了解開(kāi)發(fā)板硬件
2021-07-13 06:01:38

STM32開(kāi)發(fā)板

STM32開(kāi)發(fā)板 STM32F103RCT6最小系統(tǒng)板 ARM 一鍵串口下載 液晶屏
2023-04-04 11:05:04

UART串口收發(fā)實(shí)驗(yàn)發(fā)送數(shù)據(jù)和接收的數(shù)據(jù)不一致(FPGA/CPLD邊學(xué)邊練---快速入門(mén)Verilog/VHDL

返回的接收數(shù)據(jù)為:FF 43 F8。不管發(fā)送的有效數(shù)據(jù)是什么后面總會(huì)帶著43 F8這兩個(gè)數(shù)據(jù)。串口的實(shí)驗(yàn)結(jié)果,求助高手解答(PS:使用的是BJ_EPM240開(kāi)發(fā)板,實(shí)驗(yàn)源碼為特權(quán)同學(xué)的《FPGA/CPLD邊學(xué)邊練---快速入門(mén)Verilog/VHDL》中的UART串口收發(fā)實(shí)驗(yàn))
2017-11-30 09:25:44

USB -CPLD開(kāi)發(fā)板使用攻略

USB -CPLD開(kāi)發(fā)板使用攻略一、開(kāi)發(fā)板簡(jiǎn)介 簡(jiǎn)介:板載大容量 ALTERA MAXII 系列CPLD 芯片EPM1270,和 USB2.0 高速 CY7C68013A芯片,構(gòu)成完美的邏輯和數(shù)
2012-08-15 14:44:47

Xilinx CPLD開(kāi)發(fā)板

初學(xué)者開(kāi)發(fā)的學(xué)習(xí),開(kāi)發(fā)板設(shè)計(jì)了大量的實(shí)驗(yàn)資源,對(duì)于實(shí)驗(yàn)驗(yàn)證和開(kāi)發(fā)測(cè)試都非常有用,配合豐富的實(shí)驗(yàn)例程和詳細(xì)的實(shí)驗(yàn)指導(dǎo),用戶可以在盡可能短的時(shí)間內(nèi)掌握基本的CPLD和FPGA設(shè)計(jì)方法和思路,所有配套
2011-11-17 13:12:57

[下載]天祥 十天學(xué)會(huì)CPLD FPGA VHDL視頻教程(3.12G完整版)

開(kāi)發(fā)板一起學(xué)習(xí),那肯定能夠起到事半功倍的效果,讓您不再為學(xué)習(xí)CPLDVHDL語(yǔ)言而發(fā)愁。 下載地址:http://item.taobao.com/auction
2009-03-26 16:38:29

[推薦]FPGA/CPLD開(kāi)發(fā)板及下載工具

XILINX(spartan,virtex系列等)altrea(max,cyclone系列等)cpld/fpga芯片,全型號(hào)開(kāi)發(fā)板開(kāi)發(fā)套件。并可提供ADI,TI的DSP,FREESCALE單片機(jī)等
2009-05-06 09:48:25

【創(chuàng)龍TMS320C665x開(kāi)發(fā)板試用】問(wèn)題(一):CPLD問(wèn)題(已解決)

CPLD的原始“固件”,要是更改了會(huì)不會(huì)對(duì)開(kāi)發(fā)板的使用有影響呢?CPLD從核心的框圖上看是控制電源的,那么,它還有沒(méi)有控制其他的部分呢?核心的原理圖是沒(méi)有的,與CPLD的連接也是未知的,是不是這個(gè)
2016-03-08 21:28:06

【團(tuán)購(gòu)】特權(quán)同學(xué)148元FPGA開(kāi)發(fā)板99元供電子發(fā)燒友團(tuán)購(gòu)中!

硬件成本。特權(quán)同學(xué)的相關(guān)FPGA開(kāi)發(fā)套件各有特點(diǎn),參加本次團(tuán)購(gòu)活動(dòng)的3個(gè)套件幾乎覆蓋各種不同學(xué)習(xí)者的需求,適合不同階段的同學(xué)。BJ-EPM是一款CPLD套件,配套圖書(shū)和視頻,特點(diǎn)是非常適合沒(méi)有一點(diǎn)
2014-08-24 19:56:44

天祥 十天學(xué)會(huì)CPLD FPGA VHDL視頻教程(3.12G完整版)

講:加/減計(jì)數(shù)器例程,講解了計(jì)數(shù)器的VHDL語(yǔ)言的設(shè)計(jì)過(guò)程,以及硬件下載的方法,并且可以通過(guò)開(kāi)發(fā)板上的彩燈觀察實(shí)驗(yàn)結(jié)果。第四講:撥碼開(kāi)關(guān)例程,顯示8位撥碼開(kāi)關(guān)對(duì)應(yīng)數(shù)據(jù),這里包括撥碼開(kāi)關(guān)的輸入和動(dòng)態(tài)
2009-02-07 11:34:24

天祥十天學(xué)會(huì)CPLD/FPGA 系統(tǒng)設(shè)計(jì)全集

,并且簡(jiǎn)單介紹了一下RTL 視圖的使用。 第三講:加/減計(jì)數(shù)器例程,講解了計(jì)數(shù)器的VHDL 語(yǔ)言的設(shè)計(jì)過(guò)程,以及硬件下載的 方法,并且可以通過(guò)開(kāi)發(fā)板上的彩燈觀察實(shí)驗(yàn)結(jié)果。 第四講:撥碼開(kāi)關(guān)例程,顯示8
2012-09-29 21:32:44

如何才能使用iTOP-4418開(kāi)發(fā)板的測(cè)試例程?

本文檔介紹迅為iTOP-4418開(kāi)發(fā)板看門(mén)狗的驅(qū)動(dòng)配置和測(cè)試例程,開(kāi)發(fā)板的看門(mén)狗驅(qū)動(dòng)默認(rèn)沒(méi)有配 置,用戶需要配置看門(mén)狗之后才能使用測(cè)試例程
2021-03-17 06:02:38

學(xué)習(xí) 開(kāi)發(fā)板

想請(qǐng)問(wèn)他一下特權(quán)同學(xué)最早的學(xué)習(xí)EPM240開(kāi)發(fā)板EP1C上的實(shí)驗(yàn)課程能夠在最新的開(kāi)發(fā)板SF-CY3上做嗎?
2013-06-22 11:41:06

新手想入門(mén)stm32,大概要買(mǎi)多少錢(qián)的開(kāi)發(fā)板

新手想入門(mén)stm32,大概要買(mǎi)多少錢(qián)的開(kāi)發(fā)板
2013-04-10 20:27:11

新手求助啊,VHDL應(yīng)該買(mǎi)什么開(kāi)發(fā)板???

剛學(xué)習(xí)運(yùn)用quartus II編VHDL,想買(mǎi)塊開(kāi)發(fā)板結(jié)合做一下,請(qǐng)問(wèn)高手們應(yīng)該買(mǎi)什么開(kāi)發(fā)板,大概多少錢(qián)?
2011-05-11 13:23:46

求推薦DSP學(xué)習(xí)入門(mén)開(kāi)發(fā)板

原子哥,各位論壇大神,求推薦DSP學(xué)習(xí)入門(mén)開(kāi)發(fā)板呀~謝謝~
2019-02-15 01:09:56

求推薦STM32入門(mén)開(kāi)發(fā)板

新手,求各位大神推薦一下STM32入門(mén)開(kāi)發(fā)板!哪家的好用一些??
2015-01-25 09:37:35

特權(quán)同學(xué)傾情奉獻(xiàn)9G海量FPGA學(xué)習(xí)資料【轉(zhuǎn)】

FPGA的基本概念和學(xué)習(xí)方法,接著通過(guò)相應(yīng)的開(kāi)發(fā)套件BJ-EPM和SF-EP1C進(jìn)行實(shí)踐學(xué)習(xí)。是初學(xué)者邁入FPGA/CPLD開(kāi)發(fā)大門(mén)不可多得的好教材。
2016-05-23 14:54:47

盤(pán)古PGX-Mini 4K開(kāi)發(fā)板可以用來(lái)入門(mén)FPGA嗎

盤(pán)古PGX-Mini 4K開(kāi)發(fā)板,目前來(lái)說(shuō)是一款性價(jià)比比較高的開(kāi)發(fā)板,他用來(lái)入門(mén)FPGA怎么樣?
2024-03-16 07:17:45

至芯科技FPGA入門(mén)級(jí)開(kāi)發(fā)板評(píng)測(cè)活動(dòng),送開(kāi)發(fā)板

至芯科技FPGA入門(mén)級(jí)開(kāi)發(fā)板評(píng)測(cè)活動(dòng),送開(kāi)發(fā)板歡迎大家參與,參與地址:至芯科技論壇 百度搜索至芯科技論壇,進(jìn)入論壇頂置帖子即可看到此活動(dòng)。歡迎大家參與。
2014-03-31 22:36:22

請(qǐng)問(wèn)有入門(mén)級(jí)FPGA開(kāi)發(fā)板推薦嗎?

推薦一下FPGA開(kāi)發(fā)板,以及比較經(jīng)典的入門(mén)教材、書(shū)籍黑金開(kāi)發(fā)板就算了,屌絲買(mǎi)不起。
2019-04-22 02:58:00

誰(shuí)有DSP的入門(mén)視頻資料嗎?開(kāi)發(fā)板入門(mén)視頻,

誰(shuí)有DSP的入門(mén)視頻資料嗎?開(kāi)發(fā)板入門(mén)視頻,有的話可以給我發(fā)一份嗎?感激不盡332797329@qq.com
2013-09-02 20:10:14

米爾MYD-C437X開(kāi)發(fā)板 AM437X核心 TI Cortex-A9 AM4378開(kāi)發(fā)板TI

,主頻高達(dá)1GHz,外擴(kuò)512MB DDR3 SDRAM(可兼容256MB/1GB DDR3 SDRAM),4GB EMMC。MYD-C437X開(kāi)發(fā)板擴(kuò)展了核心
2021-08-02 17:11:26

Linux開(kāi)發(fā)板 A7 HD-IMX6ULL-MB高性價(jià)比開(kāi)發(fā)板

HD-IMX6ULL-MB高性價(jià)比開(kāi)發(fā)板產(chǎn)品介紹 HD-IMX6ULL-MB高性價(jià)比開(kāi)發(fā)板基于HD-IMX6ULL核心設(shè)計(jì)開(kāi)發(fā),核心默認(rèn)集成工業(yè)級(jí)處理器、512MB內(nèi)存、8GB存儲(chǔ)
2021-11-04 10:45:30

ESP32 物聯(lián)網(wǎng)開(kāi)發(fā)板

ESP32-DevKitM-1 物聯(lián)網(wǎng)開(kāi)發(fā)板ESP32-DevKitM-1 是樂(lè)鑫推出的一款基于 ESP32-MINI-1(1U) 模組的入門(mén)級(jí)開(kāi)發(fā)板上模組大部分管腳均已引出至兩側(cè)排針,用戶
2022-04-19 21:16:06

A CPLD VHDL Introduction

A CPLD VHDL Introduction This introduction covers the fundamentals of VHDL as applied to Complex
2009-03-28 16:14:3725

基于CPLD的頻譜電平顯示電路設(shè)計(jì)與實(shí)現(xiàn)

本文基于VHDL硬件描述語(yǔ)言,利用CPLD器件EPM570T100C5和LED點(diǎn)陣屏實(shí)現(xiàn)了對(duì)音頻信號(hào)的頻譜顯示,給出了設(shè)計(jì)過(guò)程、VHDL語(yǔ)言源程序和實(shí)驗(yàn)結(jié)果,拓展了CPLD在顯示領(lǐng)域的應(yīng)用。
2010-02-24 14:46:4528

ST系列-STM32MP135核心開(kāi)發(fā)板-入門(mén)級(jí)MPU設(shè)計(jì)平臺(tái)-米爾電子

STM32MP135核心開(kāi)發(fā)板-入門(mén)級(jí)MPU設(shè)計(jì)平臺(tái)基于STM32MP135新一代通用工業(yè)級(jí)MPU,單核Cortex-A7@1.0GHz,具有極高的性價(jià)比;支持2個(gè)千兆以太網(wǎng)接口、 2個(gè)CAN
2023-06-14 15:34:51

EPM1270F256C4N,ALTERA/阿爾特拉,即時(shí)開(kāi)啟非易失性CPLD,處理器

EPM1270F256C4N,ALTERA/阿爾特拉,即時(shí)開(kāi)啟非易失性CPLD,處理器EPM1270F256C4N,ALTERA/阿爾特拉,即時(shí)開(kāi)啟非易失性CPLD,處理器
2023-10-24 15:38:16

基于CPLD的頻譜電平顯示電路設(shè)計(jì)與實(shí)現(xiàn)

本文基于VHDL硬件描述語(yǔ)言,利用CPLD器件EPM570T100C5和LED點(diǎn)陣屏實(shí)現(xiàn)了對(duì)音頻信號(hào)的頻譜顯示,給出了設(shè)計(jì)過(guò)程、VHDL語(yǔ)言源程序和實(shí)驗(yàn)結(jié)果,拓展了CPLD在顯示領(lǐng)域的應(yīng)用。
2010-07-17 18:07:4025

USB大容量存儲(chǔ)開(kāi)發(fā)板CPLD的代碼D的源碼

USB大容量存儲(chǔ)開(kāi)發(fā)板CPLD的代碼D的源碼
2010-08-19 16:02:1520

VHDL語(yǔ)言在FPGA/CPLD開(kāi)發(fā)中的應(yīng)用?

【摘 要】 通過(guò)設(shè)計(jì)實(shí)例詳細(xì)介紹了用VHDL(VHSIC Hardware DescriptionLanguage)語(yǔ)言開(kāi)發(fā)FPGA/CPLD的方法,以及與電路圖輸入和其它HDL語(yǔ)言相比,使用VHDL語(yǔ)言的優(yōu)越性。
2009-05-10 19:47:301111

BJ-EPM CPLD開(kāi)發(fā)板VHDL入門(mén)例程1

本程序?qū)崿F(xiàn)功能: 分頻計(jì)數(shù)器,50MHz時(shí)鐘做分頻后的50%占空比方波驅(qū)動(dòng)蜂鳴器發(fā)聲
2012-05-16 10:22:031422

BJ-EPM CPLD開(kāi)發(fā)板VHDL入門(mén)例程2

程序?qū)崿F(xiàn)功能:三個(gè)獨(dú)立按鍵控制LED燈亮滅
2012-05-16 10:52:221429

BJ-EPM CPLD開(kāi)發(fā)板VHDL入門(mén)例程3

該程序?qū)崿F(xiàn)功能:三個(gè)獨(dú)立按鍵控制四個(gè)LED流水燈工作/停止或者左移/右移
2012-05-16 11:01:362609

BJ-EPM CPLD開(kāi)發(fā)板VHDL入門(mén)例程5

該程序?qū)崿F(xiàn)功能:16位無(wú)符號(hào)數(shù)的乘法運(yùn)算
2012-05-16 11:02:003198

altera FPGA/CPLD高級(jí)篇(VHDL源代碼)

altera FPGA/CPLD高級(jí)篇(VHDL源代碼)
2012-11-13 14:40:38134

BJ-EPM240學(xué)習(xí)板介紹

特權(quán)同學(xué)的FPGA/CPLD入門(mén)級(jí)學(xué)習(xí)板基礎(chǔ)資料,入門(mén)選手可以看看哦
2015-12-02 09:44:5421

STM32開(kāi)發(fā)板學(xué)習(xí)例程

STM32開(kāi)發(fā)板學(xué)習(xí)例程 有需要的朋友下來(lái)看看
2015-12-29 14:07:4515

基于EPM240的入門(mén)實(shí)驗(yàn)uartverilog

基于EPM240的入門(mén)實(shí)驗(yàn)uartverilog
2016-01-21 11:25:4214

基于EPM240的入門(mén)實(shí)驗(yàn)_clkdivverilog

基于EPM240的入門(mén)實(shí)驗(yàn)_clkdivverilog
2016-01-21 11:29:2229

DSP2812開(kāi)發(fā)板例程代碼

dsp2182開(kāi)發(fā)板入門(mén)資料,包含24個(gè)代碼例程。
2016-05-09 11:45:4878

USB大容量存儲(chǔ)開(kāi)發(fā)板CPLD代碼

Xilinx FPGA工程例子源碼:USB大容量存儲(chǔ)開(kāi)發(fā)板CPLD代碼
2016-06-07 14:13:4314

特權(quán)電子相關(guān)開(kāi)發(fā)套件 售前FAQ Ver2.03

BJ-EPM CPLD開(kāi)發(fā)套件相關(guān)例程和文檔
2016-07-08 14:23:000

SF-USB模塊介紹(SF-CY3配套)

BJ-EPM CPLD開(kāi)發(fā)套件相關(guān)例程和文檔
2016-07-08 14:23:000

SF-LCD模塊介紹(SF-CY3配套)

BJ-EPM CPLD開(kāi)發(fā)套件相關(guān)例程和文檔
2016-07-08 14:23:000

SF-SENSOR模塊介紹(SF-CY3配套)

BJ-EPM CPLD開(kāi)發(fā)套件相關(guān)例程和文檔
2016-07-08 14:23:000

SF-base模塊介紹(SF-CY3配套)

BJ-EPM CPLD開(kāi)發(fā)套件相關(guān)例程和文檔
2016-07-08 14:23:000

BJ-EPM CPLD開(kāi)發(fā)套件介紹

BJ-EPM CPLD開(kāi)發(fā)套件相關(guān)例程和文檔
2016-07-08 14:23:000

SF-VGA模塊介紹(SF-CY3配套)

BJ-EPM CPLD開(kāi)發(fā)套件相關(guān)例程和文檔
2016-07-08 14:23:000

SF-CY3套件介紹

BJ-EPM CPLD開(kāi)發(fā)套件相關(guān)例程和文檔
2016-07-08 14:23:000

SF-EP1C FPGA開(kāi)發(fā)套件介紹

BJ-EPM CPLD開(kāi)發(fā)套件相關(guān)例程和文檔
2016-07-08 14:23:000

EPM3128_USB開(kāi)發(fā)板原理圖

EPM3128 USB接口 單片機(jī) 開(kāi)發(fā)板,感興趣的小伙伴們可以看看。
2016-08-05 18:37:5768

BJ-EPM_CPLD開(kāi)發(fā)套件相關(guān)例程_Verilog_prj

BJ-EPM CPLD開(kāi)發(fā)套件相關(guān)例程_Verilog_prj
2016-10-27 18:07:5416

BJ-EPM_CPLD開(kāi)發(fā)套件介紹

FPGA學(xué)習(xí)資料教程——BJ-EPM CPLD開(kāi)發(fā)套件介紹
2016-10-27 18:07:540

基于EPM240的入門(mén)實(shí)驗(yàn)UFTtest

基于EPM240的入門(mén)實(shí)驗(yàn)UFTtest
2016-11-18 15:46:200

基于EPM240的入門(mén)實(shí)驗(yàn)verilogvga

基于EPM240的入門(mén)實(shí)驗(yàn)verilogvga
2016-11-18 15:46:201

基于EPM240的入門(mén)實(shí)驗(yàn)verilogled7

基于EPM240的入門(mén)實(shí)驗(yàn)verilogled7
2016-11-18 15:46:200

基于EPM240的入門(mén)實(shí)驗(yàn)_ohnsonverilog

基于EPM240的入門(mén)實(shí)驗(yàn)_ohnsonverilog
2016-11-18 16:05:020

基于EPM240的入門(mén)實(shí)驗(yàn)_clkdivverilog

基于EPM240的入門(mén)實(shí)驗(yàn)_clkdivverilog
2016-11-18 16:05:022

EVAL開(kāi)發(fā)板例程_EXMC_NandFlash

兆易創(chuàng)新科技GD32F2xx、GD32F1xx相關(guān)開(kāi)發(fā)板開(kāi)發(fā)例程與資料。
2016-12-12 22:18:0513

開(kāi)發(fā)板EPM1270F256C5MAXIIboards

開(kāi)發(fā)板EPM1270F256C5_MAX_II_board_schematics.part2
2017-03-20 08:00:0014

開(kāi)發(fā)板EPM1270F256C5MAXIIboards

開(kāi)發(fā)板EPM1270F256C5_MAX_II_board_schematics.part1
2017-03-20 08:00:0049

基于Sm2300開(kāi)發(fā)板的TCPIP例程

本文檔中截殺了基于Sm2300開(kāi)發(fā)板的TCPIP例程。
2017-08-31 16:50:506

基于BJ-EPMCPLD 開(kāi)發(fā)板的串口通信實(shí)驗(yàn)

BJ-EPM240學(xué)習(xí)板是特權(quán)同學(xué)推出的一款FPGA/CPLD入門(mén)級(jí)學(xué)習(xí)板,該學(xué)習(xí)板在助學(xué)活動(dòng)期間以低廉的價(jià)格提供給所有網(wǎng)友。學(xué)習(xí)套件以齊全的資料、良好的代碼風(fēng)格博得了廣大網(wǎng)友的一致認(rèn)可,很適合初學(xué)者入門(mén)學(xué)習(xí)。
2017-08-31 17:09:532

F429挑戰(zhàn)者開(kāi)發(fā)板開(kāi)發(fā)例程

F429挑戰(zhàn)者開(kāi)發(fā)板開(kāi)發(fā)例程
2017-12-07 16:29:3613

FPGA視頻教程之BJ-EPM240學(xué)習(xí)板的詳細(xì)資料介紹

本文檔的主要內(nèi)容詳細(xì)介紹的是FPGA視頻教程之BJ-EPM240學(xué)習(xí)板的詳細(xì)資料說(shuō)明免費(fèi)下載,BJ-EPM240學(xué)習(xí)板是一款FPGA/CPLD入門(mén)級(jí)學(xué)習(xí)板。
2019-03-01 11:35:5220

多款FPGA CPLD開(kāi)發(fā)板的電路原理圖資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是12款FPGA CPLD開(kāi)發(fā)板的電路原理圖資料免費(fèi)下載包括了:Cyclone II EP2C20 原理圖,Cyclone1C20的Nios開(kāi)發(fā)板,EP1C3T144
2019-03-04 08:00:0084

BJ-EPM240學(xué)習(xí)板之Johnson.計(jì)數(shù)器實(shí)驗(yàn)

本視頻主要內(nèi)容詳細(xì)介紹的是FPGA視頻教程之BJ-EPM240學(xué)習(xí)板計(jì)數(shù)器實(shí)驗(yàn)的詳細(xì)資料說(shuō)明。
2019-03-06 14:57:394949

EPM240 CPLD開(kāi)發(fā)板的電路原理圖免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是EPM240 CPLD開(kāi)發(fā)板的電路原理圖免費(fèi)下載。
2019-03-27 16:02:15122

EPM240 CPLD開(kāi)發(fā)板的引腳分配詳細(xì)資料免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是EPM240 CPLD開(kāi)發(fā)板的引腳分配詳細(xì)資料免費(fèi)下載。
2019-03-27 16:02:0233

EPM240 CPLD開(kāi)發(fā)板的十個(gè)實(shí)驗(yàn)的資料說(shuō)明免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是EPM240 CPLD開(kāi)發(fā)板的十個(gè)實(shí)驗(yàn)的資料說(shuō)明免費(fèi)下載包括了:1.分頻程序,2.moore 狀態(tài)機(jī)程序,3.加/減法計(jì)數(shù)器,4.撥碼開(kāi)關(guān)及顯示,5.按鍵控制,6.按鍵控制加減及消抖,7.交通燈程序,8.漢字滾動(dòng),9.ADC0804 驅(qū)動(dòng)進(jìn)程,10.正弦波發(fā)生器
2019-03-27 16:02:0127

課程5:BJ-EPM240學(xué)習(xí)板介紹

BJ-EPM240學(xué)習(xí)板主芯片使用的是Altera公司的MAXII系列EPM240T100C5,該芯片有240個(gè)邏輯單元,等效宏單元192個(gè),資源比較豐富,內(nèi)有8KbitFlash的存儲(chǔ)空間。
2019-12-23 07:05:002657

FPGA視頻教程:BJ-EPM240學(xué)習(xí)板介紹

BJ-EPM240學(xué)習(xí)板的主芯片使用的是Altera公司的MAXII系列EPM240T100C5,該芯片有240個(gè)邏輯單元,等效宏單元192個(gè),資源比較豐富,內(nèi)有8KbitFlash的存儲(chǔ)空間。
2019-12-10 07:09:003399

EPM1270 CPLD開(kāi)發(fā)板的電路原理圖合集免費(fèi)下載

本文檔的主要內(nèi)容詳細(xì)介紹的是EPM1270 CPLD開(kāi)發(fā)板的電路原理圖合集免費(fèi)下載。
2019-06-17 08:00:00154

C8051f350開(kāi)發(fā)板例程分享

C8051f350開(kāi)發(fā)板例程分享
2022-02-28 10:23:1328

基于EPM240的入門(mén)實(shí)驗(yàn)

基于EPM240的入門(mén)實(shí)驗(yàn)
2022-06-24 15:49:015

ATF1504AS(L) CPLD開(kāi)發(fā)板開(kāi)源

電子發(fā)燒友網(wǎng)站提供《ATF1504AS(L) CPLD開(kāi)發(fā)板開(kāi)源.zip》資料免費(fèi)下載
2022-07-27 14:46:583

已全部加載完成