電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫(xiě)文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>可編程邏輯>FPGA/ASIC>Verilog HDL測(cè)試和應(yīng)用資料詳細(xì)說(shuō)明

Verilog HDL測(cè)試和應(yīng)用資料詳細(xì)說(shuō)明

2021-01-22 | rar | 0.06 MB | 次下載 | 免費(fèi)

資料介紹

  隨著數(shù)字系統(tǒng)變得越來(lái)越復(fù)雜,在系統(tǒng)中實(shí)現(xiàn)設(shè)計(jì)之前驗(yàn)證設(shè)計(jì)的功能變得越來(lái)越重要。硬件描述語(yǔ)言(Hardware Descriptions Languages,HDL)已經(jīng)變得非常流行,因?yàn)?a target='_blank' class='arckwlink_none'>工程師可以使用同一種語(yǔ)言來(lái)設(shè)計(jì)和測(cè)試CPLDFPGA。最常見(jiàn)的兩種HDL是Verilog和VHDL。本文檔主要介紹如何使用veriloghdl測(cè)試數(shù)字系統(tǒng),為設(shè)計(jì)者提供一些可用于大多數(shù)數(shù)字應(yīng)用程序的模擬技術(shù)

  本應(yīng)用說(shuō)明和包含的Verilog源代碼描述了如何將模擬應(yīng)用于CPLD設(shè)計(jì)的行為或門(mén)級(jí)描述。設(shè)計(jì)者應(yīng)該能夠訪問(wèn)Verilog模擬器并熟悉其基本功能。簡(jiǎn)而言之,編譯了每個(gè)模塊的Verilog代碼并進(jìn)行了仿真跑開(kāi)通過(guò)對(duì)設(shè)計(jì)進(jìn)行激勵(lì)和模擬,設(shè)計(jì)人員可以確保設(shè)計(jì)的正確功能得到實(shí)現(xiàn)。這個(gè)設(shè)計(jì)使用一個(gè)可加載的4位計(jì)數(shù)器和測(cè)試臺(tái)來(lái)說(shuō)明Verilog模擬的基本元素。該設(shè)計(jì)在一個(gè)試驗(yàn)臺(tái)上被實(shí)例化,對(duì)輸入施加激勵(lì),并對(duì)輸出進(jìn)行監(jiān)控,以獲得所需的結(jié)果。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評(píng)論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費(fèi)下載
  2. 0.00 MB  |  1491次下載  |  免費(fèi)
  3. 2單片機(jī)典型實(shí)例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實(shí)例詳細(xì)資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識(shí)別和講解說(shuō)明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開(kāi)關(guān)電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費(fèi)
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機(jī)和 SG3525的程控開(kāi)關(guān)電源設(shè)計(jì)
  14. 0.23 MB  |  4次下載  |  免費(fèi)
  15. 8基于AT89C2051/4051單片機(jī)編程器的實(shí)驗(yàn)
  16. 0.11 MB  |  4次下載  |  免費(fèi)

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費(fèi)
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費(fèi)
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費(fèi)
  7. 4LabView 8.0 專(zhuān)業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費(fèi)
  9. 5555集成電路應(yīng)用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費(fèi)
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費(fèi)
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費(fèi)
  15. 8開(kāi)關(guān)電源設(shè)計(jì)實(shí)例指南
  16. 未知  |  21539次下載  |  免費(fèi)

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費(fèi)
  3. 2protel99se軟件下載(可英文版轉(zhuǎn)中文版)
  4. 78.1 MB  |  537793次下載  |  免費(fèi)
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費(fèi)
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費(fèi)
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費(fèi)
  11. 6電路仿真軟件multisim 10.0免費(fèi)下載
  12. 340992  |  191183次下載  |  免費(fèi)
  13. 7十天學(xué)會(huì)AVR單片機(jī)與C語(yǔ)言視頻教程 下載
  14. 158M  |  183277次下載  |  免費(fèi)
  15. 8proe5.0野火版下載(中文版免費(fèi)下載)
  16. 未知  |  138039次下載  |  免費(fèi)