電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示
創(chuàng)作
電子發(fā)燒友網(wǎng)>電子資料下載>仿真技術>ModelSim 10.1a版本經(jīng)典教程資料免費下載

ModelSim 10.1a版本經(jīng)典教程資料免費下載

2019-05-17 | rar | 0.74 MB | 次下載 | 免費

資料介紹

  假設

  對ModelSim?使用本教程基于以下假設:

  您熟悉如何使用操作系統(tǒng)及其窗口管理系統(tǒng)和圖形界面:OpenWindows、OSF/Motif、CDE、KDE、GNOME或Microsoft Windows XP。

  您對編寫設計和/或測試臺的語言(如vhdl、verilog)有一定的了解。盡管modelsim是學習HDL概念和實踐時使用的優(yōu)秀應用程序,但本教程并不支持該目標。

  在你開始之前

  一些課程的準備工作留給你一些細節(jié)。您將決定在操作系統(tǒng)中創(chuàng)建目錄、復制文件和執(zhí)行程序的最佳方式。(當您在ModelSim的GUI中操作模擬器時,所有平臺的界面都是一致的。)

  示例顯示W(wǎng)indows路徑分隔符-在嘗試示例時使用適合您的操作系統(tǒng)的分隔符。

  實例設計

  ModelSim附帶了這些課程中使用的設計的verilog和vhdl版本。這允許您執(zhí)行教程,而不管您擁有哪種許可證類型。盡管我們已經(jīng)嘗試最小化verilog和vhdl版本之間的差異,但在所有情況下我們都不能這樣做。如果設計不同(例如,行號或語法),您將找到特定于語言的說明。請遵循適用于您所使用語言的說明。

  介紹

  MODESIM是VHDL、Verilog、System Verilog和混合語言的驗證和仿真工具

  設計。

  本課簡要介紹了ModelSim仿真環(huán)境的概念概述。它是

  分為四個主題,您將在后續(xù)課程中了解更多。

  基本模擬流程?參見第3章,基本模擬。

  項目流程?參見第4章,項目。

  多個庫流?請參閱第5章,使用多個庫。

  調(diào)試工具?參考剩余課程。

  下圖顯示了在ModelSim中模擬設計的基本步驟。

  創(chuàng)建工作庫

  在ModelSim中,所有設計都被編譯到一個庫中。您通常通過創(chuàng)建一個名為“工作”的工作庫來啟動ModelSim中的新模擬,該工作庫是編譯器用作已編譯設計單元的默認目標的默認庫名稱。

  編譯您的設計

  創(chuàng)建工作庫之后,您可以將設計單元編譯到其中。ModelSim庫格式在所有支持的平臺上都兼容。您可以在任何平臺上模擬設計,而無需重新編譯設計。

  使用您的設計加載模擬器并運行模擬

  在編譯了設計之后,通過調(diào)用頂層模塊(verilog)或配置或實體/體系結構對(vhdl)上的模擬器,可以將模擬器加載到設計中。

  假設設計負載成功,模擬時間設置為零,然后輸入Run命令開始模擬。

  調(diào)試結果

  如果沒有得到預期的結果,可以使用ModelSims健壯的調(diào)試環(huán)境來跟蹤問題的原因。

  項目流程

  項目是用于在規(guī)范或測試下進行HDL設計的收集機制。即使您不必在ModelSim中使用項目,它們也可以簡化與該工具的交互,對于組織文件和指定模擬設置非常有用。

  下圖顯示了在ModelSim項目中模擬設計的基本步驟。

下載該資料的人也在下載 下載該資料的人還在閱讀
更多 >

評論

查看更多

下載排行

本周

  1. 1電子電路原理第七版PDF電子教材免費下載
  2. 0.00 MB  |  1491次下載  |  免費
  3. 2單片機典型實例介紹
  4. 18.19 MB  |  95次下載  |  1 積分
  5. 3S7-200PLC編程實例詳細資料
  6. 1.17 MB  |  27次下載  |  1 積分
  7. 4筆記本電腦主板的元件識別和講解說明
  8. 4.28 MB  |  18次下載  |  4 積分
  9. 5開關電源原理及各功能電路詳解
  10. 0.38 MB  |  11次下載  |  免費
  11. 6100W短波放大電路圖
  12. 0.05 MB  |  4次下載  |  3 積分
  13. 7基于單片機和 SG3525的程控開關電源設計
  14. 0.23 MB  |  4次下載  |  免費
  15. 8基于AT89C2051/4051單片機編程器的實驗
  16. 0.11 MB  |  4次下載  |  免費

本月

  1. 1OrCAD10.5下載OrCAD10.5中文版軟件
  2. 0.00 MB  |  234313次下載  |  免費
  3. 2PADS 9.0 2009最新版 -下載
  4. 0.00 MB  |  66304次下載  |  免費
  5. 3protel99下載protel99軟件下載(中文版)
  6. 0.00 MB  |  51209次下載  |  免費
  7. 4LabView 8.0 專業(yè)版下載 (3CD完整版)
  8. 0.00 MB  |  51043次下載  |  免費
  9. 5555集成電路應用800例(新編版)
  10. 0.00 MB  |  33562次下載  |  免費
  11. 6接口電路圖大全
  12. 未知  |  30320次下載  |  免費
  13. 7Multisim 10下載Multisim 10 中文版
  14. 0.00 MB  |  28588次下載  |  免費
  15. 8開關電源設計實例指南
  16. 未知  |  21539次下載  |  免費

總榜

  1. 1matlab軟件下載入口
  2. 未知  |  935053次下載  |  免費
  3. 2protel99se軟件下載(可英文版轉中文版)
  4. 78.1 MB  |  537793次下載  |  免費
  5. 3MATLAB 7.1 下載 (含軟件介紹)
  6. 未知  |  420026次下載  |  免費
  7. 4OrCAD10.5下載OrCAD10.5中文版軟件
  8. 0.00 MB  |  234313次下載  |  免費
  9. 5Altium DXP2002下載入口
  10. 未知  |  233046次下載  |  免費
  11. 6電路仿真軟件multisim 10.0免費下載
  12. 340992  |  191183次下載  |  免費
  13. 7十天學會AVR單片機與C語言視頻教程 下載
  14. 158M  |  183277次下載  |  免費
  15. 8proe5.0野火版下載(中文版免費下載)
  16. 未知  |  138039次下載  |  免費