0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > fpga設(shè)計(jì)

fpga設(shè)計(jì)

fpga設(shè)計(jì)

+關(guān)注9人關(guān)注

FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。

文章:348個(gè) 瀏覽:26452 帖子:90個(gè)

fpga設(shè)計(jì)技術(shù)

FPGA設(shè)計(jì)面臨的挑戰(zhàn)和解決方案

設(shè)計(jì)可靠的可編程邏輯門陣列(FPGA)對(duì)于不容故障的系統(tǒng)來(lái)說是一項(xiàng)具有挑戰(zhàn)性的任務(wù)。本文介紹FPGA設(shè)計(jì)的復(fù)雜性,重點(diǎn)關(guān)注如何在提高可靠性的同時(shí)管理隨之...

2024-08-06 標(biāo)簽:FPGAFPGA設(shè)計(jì)可編程邏輯門陣列 329 0

基于FPGA的AES256光纖加密設(shè)計(jì)案例實(shí)現(xiàn)

基于FPGA的AES256光纖加密設(shè)計(jì)案例實(shí)現(xiàn)

近年來(lái),信息安全應(yīng)用于生活中的各個(gè)領(lǐng)域.在光通信系統(tǒng)中,往往對(duì)速率有著較高的追求。其中對(duì)光模塊,光纖通信中的傳輸算法,傳輸?shù)哪J揭约肮獠ǘ芜x取有密切關(guān)聯(lián)。

2024-05-10 標(biāo)簽:FPGA收發(fā)器FPGA設(shè)計(jì) 1561 0

使用Altera Interface Planner高效設(shè)計(jì)FPGA引腳布局

使用Altera Interface Planner高效設(shè)計(jì)FPGA引腳布局

Altera Interface Planner 用于探索設(shè)備的外設(shè)架構(gòu),并高效地分配接口。通過實(shí)時(shí)進(jìn)行擬合和合法性檢查,防止非法引腳分配。

2024-03-22 標(biāo)簽:pcbFPGA設(shè)計(jì)Altera 2262 0

FPGA設(shè)計(jì)的IP和算法應(yīng)用綜述

FPGA設(shè)計(jì)的IP和算法應(yīng)用綜述

IP(Intelligent Property) 核是具有知識(shí)產(chǎn)權(quán)核的集成電路芯核總稱,是經(jīng)過反復(fù)驗(yàn)證過的、具有特定功能的宏模塊,與芯片制造工藝無(wú)關(guān),可...

2024-03-07 標(biāo)簽:FPGA設(shè)計(jì)寄存器EDA工具 1083 0

請(qǐng)問create_generated_clock該怎么使用呢?

請(qǐng)問create_generated_clock該怎么使用呢?

FPGA設(shè)計(jì)中,生成時(shí)鐘分為兩大類:自動(dòng)生成時(shí)鐘和用戶生成時(shí)鐘。

2024-01-25 標(biāo)簽:FPGA設(shè)計(jì)PLL電路 1726 0

異步復(fù)位異步釋放會(huì)有什么問題?FPGA異步復(fù)位為什么要同步釋放呢?

異步復(fù)位異步釋放會(huì)有什么問題?FPGA異步復(fù)位為什么要同步釋放呢?

一般來(lái)說,復(fù)位信號(hào)有效后會(huì)保持比較長(zhǎng)一段時(shí)間,確保 register 被復(fù)位完成。但是復(fù)位信號(hào)釋放時(shí),因?yàn)槠浜蜁r(shí)鐘是異步的關(guān)系,我們不知道它會(huì)在什么時(shí)刻被釋放。

2024-01-24 標(biāo)簽:FPGA設(shè)計(jì)狀態(tài)機(jī)FDR 1612 0

淺析FPGA的調(diào)試-內(nèi)嵌邏輯分析儀(SignalTap)原理及實(shí)例

淺析FPGA的調(diào)試-內(nèi)嵌邏輯分析儀(SignalTap)原理及實(shí)例

對(duì)于FPGA調(diào)試,主要以Intel FPGA為例,在win10 Quartus ii 17.0環(huán)境下進(jìn)行仿真和調(diào)試,開發(fā)板類型EP4CE15F17。

2024-01-12 標(biāo)簽:FPGA設(shè)計(jì)寄存器狀態(tài)機(jī) 2168 0

跨時(shí)鐘域的解決方案

跨時(shí)鐘域的解決方案

在很久之前便陸續(xù)談過亞穩(wěn)態(tài),F(xiàn)IFO,復(fù)位的設(shè)計(jì)。本次亦安做一個(gè)簡(jiǎn)單的總結(jié),從宏觀上給大家展示跨時(shí)鐘域的解決方案。

2024-01-08 標(biāo)簽:FPGA設(shè)計(jì)fifoCDC 806 0

怎樣減少路徑上的LUT個(gè)數(shù)使速度更快呢?

怎樣減少路徑上的LUT個(gè)數(shù)使速度更快呢?

對(duì)FPGA設(shè)計(jì)而言如果想速度更快則應(yīng)當(dāng)努力減少路徑上LUT的個(gè)數(shù),而不是邏輯級(jí)數(shù)。

2023-12-27 標(biāo)簽:FPGA設(shè)計(jì)LUT 560 0

FPGA設(shè)計(jì)技巧—多時(shí)鐘域和異步信號(hào)處理解決方案

FPGA設(shè)計(jì)技巧—多時(shí)鐘域和異步信號(hào)處理解決方案

有一個(gè)有趣的現(xiàn)象,眾多數(shù)字設(shè)計(jì)特別是與FPGA設(shè)計(jì)相關(guān)的教科書都特別強(qiáng)調(diào)整個(gè)設(shè)計(jì)最好采用唯一的時(shí)鐘域。

2023-12-22 標(biāo)簽:FPGA設(shè)計(jì)信號(hào)處理同步器 1369 0

【Soc級(jí)系統(tǒng)防御】Soc硬件木馬與電子鏈學(xué)習(xí)

【Soc級(jí)系統(tǒng)防御】Soc硬件木馬與電子鏈學(xué)習(xí)

隨著尖端工藝的代工成本和現(xiàn)代片上系統(tǒng)(system-on-a-chip,SoC)平臺(tái)設(shè)計(jì)復(fù)雜性的不斷提高,曾經(jīng)局限于一個(gè)國(guó)家甚至一家公司的IC供應(yīng)鏈已經(jīng)...

2023-11-20 標(biāo)簽:處理器FPGA設(shè)計(jì)場(chǎng)效應(yīng)晶體管 1283 0

如何設(shè)計(jì)一個(gè)參數(shù)化的數(shù)據(jù)選擇器

如何設(shè)計(jì)一個(gè)參數(shù)化的數(shù)據(jù)選擇器

在FPGA設(shè)計(jì)中,大部分情況下我們都得使用到數(shù)據(jù)選擇器。并且為了設(shè)計(jì)參數(shù)化,可調(diào),通常情況下我們需要一個(gè)參數(shù)可調(diào)的數(shù)據(jù)選擇器,比如M選1,M是可調(diào)的參數(shù)。

2023-11-20 標(biāo)簽:FPGA設(shè)計(jì)Verilog數(shù)據(jù)選擇器 1042 0

blue-ethernet高性能FPGA網(wǎng)絡(luò)數(shù)據(jù)包處理項(xiàng)目簡(jiǎn)介

blue-ethernet高性能FPGA網(wǎng)絡(luò)數(shù)據(jù)包處理項(xiàng)目簡(jiǎn)介

blue-ethernet項(xiàng)目使用Bluespec SystemVerilog(BSV)硬件描述語(yǔ)言實(shí)現(xiàn)了一系列在FPGA上加速網(wǎng)絡(luò)數(shù)據(jù)包處理的硬件模塊。

2023-11-13 標(biāo)簽:FPGA設(shè)計(jì)以太網(wǎng)UDP協(xié)議 1127 0

AMD -Xilinx FPGA功耗優(yōu)化設(shè)計(jì)簡(jiǎn)介

仔細(xì)檢查一下設(shè)計(jì)中的PLL,是不是可以把兩個(gè)PLL整合為一個(gè);或者是否可以對(duì)時(shí)鐘頻率做一些“整合”,盡量減少時(shí)鐘頻率數(shù)量,從而省去一個(gè)PLL。如果可以,...

2023-11-12 標(biāo)簽:amdFPGA設(shè)計(jì)存儲(chǔ)器 720 0

FIFO為什么不能正常工作?

FIFO為什么不能正常工作?

FIFO為什么不能正常工作?復(fù)位信號(hào)有效長(zhǎng)度不夠,接口時(shí)序不匹配,可看下面這篇文章。 本文將介紹: 非DFX工程如何確保異步FIFO自帶的set_max...

2023-11-02 標(biāo)簽:fpgaFPGA設(shè)計(jì)fifo 1148 0

如何優(yōu)化FPGA HLS設(shè)計(jì)呢?

如何優(yōu)化FPGA HLS設(shè)計(jì)呢?

用工具用 C 生成 RTL 的代碼基本不可讀。以下是如何在不更改任何 RTL 的情況下提高設(shè)計(jì)性能。

2023-10-30 標(biāo)簽:arm濾波器FPGA設(shè)計(jì) 957 0

FPGA設(shè)計(jì)是否需要學(xué)習(xí)SystemVerilog

FPGA設(shè)計(jì)是否需要學(xué)習(xí)SystemVerilog

Verilog和System Verilog是同一硬件描述語(yǔ)言(HDL)的同義名稱。

2023-10-26 標(biāo)簽:FPGA設(shè)計(jì)仿真器HDL語(yǔ)言 837 0

FPGA零基礎(chǔ)之Vivado-超聲波驅(qū)動(dòng)設(shè)計(jì)

FPGA零基礎(chǔ)之Vivado-超聲波驅(qū)動(dòng)設(shè)計(jì)

聲音是我們?nèi)粘I钪胁豢扇鄙俚囊环N信號(hào),在傳遞信息的同時(shí),也在生活中的各個(gè)領(lǐng)域有較多的應(yīng)用。

2023-10-18 標(biāo)簽:驅(qū)動(dòng)器FPGA設(shè)計(jì)接收器 813 0

如何在FPGA設(shè)計(jì)環(huán)境中加入時(shí)序約束?

如何在FPGA設(shè)計(jì)環(huán)境中加入時(shí)序約束?

在給FPGA做邏輯綜合和布局布線時(shí),需要在工具中設(shè)定時(shí)序的約束。通常,在FPGA設(shè)計(jì)工具中都FPGA中包含有4種路徑:從輸入端口到寄存器,從寄存器到寄存...

2023-10-12 標(biāo)簽:fpgaFPGA設(shè)計(jì)時(shí)鐘 1261 0

如何使用Power Design Manager(PDM)進(jìn)行功耗評(píng)估?

如何使用Power Design Manager(PDM)進(jìn)行功耗評(píng)估?

在基于FPGA和 SoC器件的產(chǎn)品設(shè)計(jì)過程中,從器件選擇到系統(tǒng)級(jí)電源設(shè)計(jì)、散熱設(shè)計(jì),電源功率估算對(duì)于設(shè)計(jì)方案確定至關(guān)重要;早

2023-10-08 標(biāo)簽:電源設(shè)計(jì)FPGA設(shè)計(jì)PDM 1695 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國(guó)Lab Center Electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對(duì)生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會(huì)使編程的可視化和交互性加強(qiáng),編程門檻降低,即使沒有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識(shí)別
    識(shí)別
    +關(guān)注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關(guān)注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來(lái)實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過去汽車電子產(chǎn)品的開發(fā)周期是漫長(zhǎng)的,而許多汽車制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長(zhǎng)寬,直插,貼片,焊盤的大小,管腳的長(zhǎng)寬,管腳的間距等)用圖形方式表現(xiàn)出來(lái),以便可以在畫pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫(kù)
    PCB封裝庫(kù)
    +關(guān)注
  • 語(yǔ)音交互
    語(yǔ)音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對(duì)于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場(chǎng)的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對(duì)的市場(chǎng)領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無(wú)焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長(zhǎng)線傳輸中的概念。特性阻抗是射頻傳輸線影響無(wú)線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會(huì)阻礙交變電流的流動(dòng),合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對(duì)齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個(gè)新的高性能協(xié)議。
  • 驅(qū)動(dòng)電流
    驅(qū)動(dòng)電流
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來(lái)為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號(hào)。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性價(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號(hào),也可以是壓力、溫度、濕度、位移、聲音等非電信號(hào);而AD分辨率指數(shù)字量變化一個(gè)最小量時(shí)模擬信號(hào)的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(9人)

1114502831 宋從超 唐老鴨 taylors liult03 阮如媛 藍(lán)天之約lc undefined_c1a 三四二一

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語(yǔ)言教程專題

電機(jī)控制 DSP 氮化鎵 功率放大器 ChatGPT 自動(dòng)駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無(wú)刷電機(jī) FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機(jī) PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機(jī) SPWM 充電樁 IPM 機(jī)器視覺 無(wú)人機(jī) 三菱電機(jī) ST
伺服電機(jī) SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國(guó)民技術(shù) Microchip
瑞薩 沁恒股份 全志 國(guó)民技術(shù) 瑞芯微 兆易創(chuàng)新 芯海科技 Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚(yáng)興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費(fèi)諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機(jī) 金升陽(yáng) 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長(zhǎng)晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運(yùn)算放大器 差動(dòng)放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變?cè)鲆娣糯笃? 隔離放大器
時(shí)鐘 時(shí)鐘振蕩器 時(shí)鐘發(fā)生器 時(shí)鐘緩沖器 定時(shí)器 寄存器 實(shí)時(shí)時(shí)鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚(yáng)聲器放大器 音頻轉(zhuǎn)換器 音頻開關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計(jì) 溫度傳感器 壓力傳感器
電機(jī)驅(qū)動(dòng)器 步進(jìn)驅(qū)動(dòng)器 TWS BLDC 無(wú)刷直流驅(qū)動(dòng)器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開關(guān)電源 步進(jìn)電機(jī) 無(wú)線充電 LabVIEW EMC PLC OLED 單片機(jī)
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語(yǔ)音識(shí)別 萬(wàn)用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機(jī)器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計(jì):PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實(shí)戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號(hào)完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計(jì)教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語(yǔ)言基礎(chǔ)教程,c語(yǔ)言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點(diǎn)原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語(yǔ)言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語(yǔ)言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題