0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > fpga設(shè)計(jì)

fpga設(shè)計(jì)

fpga設(shè)計(jì)

+關(guān)注9人關(guān)注

FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。

文章:348個(gè) 瀏覽:26452 帖子:90個(gè)

fpga設(shè)計(jì)技術(shù)

使用Xilinx口袋實(shí)驗(yàn)平臺(tái),動(dòng)手FPGA設(shè)計(jì)!

以Xilinx公司最新的Vivado FPGA集成開(kāi)發(fā)環(huán)境為基礎(chǔ),將數(shù)字邏輯設(shè)計(jì)與硬件描述語(yǔ)言Verilog HDL相結(jié)合,循序漸進(jìn)地介紹了基于Xili...

2017-12-27 標(biāo)簽:fpgaFPGA設(shè)計(jì)xilinx 1.4萬(wàn) 0

FPGA設(shè)計(jì)需要注意哪些要點(diǎn)

FPGA的用處比我們平時(shí)想象的用處更廣泛,原因在于其中集成的模塊種類更多,而不僅僅是原來(lái)的簡(jiǎn)單邏輯單元(LE)。 早期的FPGA相對(duì)比較簡(jiǎn)單,所有的功能...

2018-09-07 標(biāo)簽:fpgaFPGA設(shè)計(jì) 1.3萬(wàn) 0

FPGA設(shè)計(jì)思想,速度和面積互換原則不可忽視

流水線設(shè)計(jì)可以從某種程度上提高系統(tǒng)頻率。。前提是:設(shè)計(jì)可以分為若干步驟進(jìn)行處理,而且整個(gè)數(shù)據(jù)處理的過(guò)程是單向的,即沒(méi)有反饋或者逆運(yùn)算、前一個(gè)步驟的輸出是...

2017-12-18 標(biāo)簽:fpgaFPGA設(shè)計(jì)可編程邏輯 1.1萬(wàn) 0

探討基于sopc技術(shù)的fpga集成嵌入式系統(tǒng)設(shè)計(jì)

探討基于sopc技術(shù)的fpga集成嵌入式系統(tǒng)設(shè)計(jì)

可編程片上系統(tǒng)( SoPC)是在可編程邏輯器件的基礎(chǔ)上發(fā)展起來(lái)的一種靈活、高效的嵌入式系統(tǒng)設(shè)計(jì)解決方案,系統(tǒng)設(shè)計(jì)者可以從傳統(tǒng)的板級(jí)系統(tǒng)設(shè)計(jì)轉(zhuǎn)換到芯片級(jí)系...

2017-12-02 標(biāo)簽:FPGA設(shè)計(jì)SOPC技術(shù) 7601 0

你一定想知道FPGA的那些事...

作者:張澤小腳丫STEP 導(dǎo)讀:FPGA(Field Programmable Gate Array)譯作中文為:現(xiàn)場(chǎng)可編程門陣列,也就是設(shè)計(jì)者可以在現(xiàn)...

2018-06-14 標(biāo)簽:fpgaFPGA設(shè)計(jì)Altera 6906 0

基于FPGA自適應(yīng)數(shù)字頻率計(jì)的設(shè)計(jì)

基于FPGA自適應(yīng)數(shù)字頻率計(jì)的設(shè)計(jì)

在電子工程,資源勘探,儀器儀表等相關(guān)應(yīng)用中,頻率計(jì)是工程技術(shù)人員必不可少的測(cè)量工具。頻率測(cè)量也是電子測(cè)量技術(shù)中最基本最常見(jiàn)的測(cè)量之一。不少物理量的測(cè)量,...

2019-01-01 標(biāo)簽:FPGA設(shè)計(jì) 6541 0

介紹FPGA在線調(diào)試的一大利器—VIO

介紹FPGA在線調(diào)試的一大利器—VIO

之前的文章介紹了FPGA在線調(diào)試的方法,包括選定抓取信號(hào),防止信號(hào)被優(yōu)化的方法等等。

2023-06-20 標(biāo)簽:FPGA設(shè)計(jì)DDS觸發(fā)器 6290 0

FPGA設(shè)計(jì)的十五條原則詳細(xì)解析

1、硬件設(shè)計(jì)基本原則 (1)速度與面積平衡和互換原則:一個(gè)設(shè)計(jì)如果時(shí)序余量較大,所能跑的頻率遠(yuǎn)高于設(shè)計(jì)要求,能可以通過(guò)模塊復(fù)用來(lái)減少整個(gè)設(shè)計(jì)消耗的...

2017-12-19 標(biāo)簽:fpgaFPGA設(shè)計(jì) 6246 0

ISE中ChipScope使用教程

ISE中ChipScope使用教程

ChipScope是Xilinx提供的一個(gè)校驗(yàn)FPGA設(shè)計(jì)的工具。它的本質(zhì)是一個(gè)虛擬的邏輯分析儀,能調(diào)用FPGA內(nèi)部的邏輯資源對(duì)代碼中的各個(gè)變量進(jìn)行抓取分析。

2023-05-08 標(biāo)簽:FPGA設(shè)計(jì)led燈ROM 6135 0

基于單光子探測(cè)的時(shí)間相關(guān)計(jì)數(shù)TCSPC設(shè)計(jì)實(shí)現(xiàn)

基于單光子探測(cè)的時(shí)間相關(guān)計(jì)數(shù)TCSPC設(shè)計(jì)實(shí)現(xiàn)

TCSPC時(shí)間相關(guān)單光子計(jì)數(shù)技術(shù)是一種成熟且通用的單光子計(jì)數(shù)技術(shù),是一種功能強(qiáng)大的分析方法,目前廣泛應(yīng)用于熒光壽命測(cè)量、時(shí)間分辨光譜、熒光壽命成像、飛行...

2023-09-22 標(biāo)簽:FPGA設(shè)計(jì)存儲(chǔ)器數(shù)字轉(zhuǎn)換器 5983 3

使用SoM來(lái)開(kāi)發(fā)嵌入式系統(tǒng)的優(yōu)勢(shì)

作者:Steve Leibson 很多嵌入式設(shè)計(jì)使用基于微處理器和微控制器的單板計(jì)算機(jī) (SBC) 和系統(tǒng)級(jí)模塊 (SoM)(例如,請(qǐng)參閱使用 Rasp...

2018-08-31 標(biāo)簽:嵌入式FPGA設(shè)計(jì)soc 5800 0

FPGA仿真必備—Matlab生成.mif/.txt/.coe文件

FPGA仿真必備—Matlab生成.mif/.txt/.coe文件

.mif 和 .coe 是 FPGA 設(shè)計(jì)中常用的存儲(chǔ)文件,用于 ROM、RAM 等存儲(chǔ)器數(shù)據(jù)的加載,常見(jiàn)的還用在 DDS 信號(hào)發(fā)生器和 FIR 濾波器...

2023-06-21 標(biāo)簽:FPGA設(shè)計(jì)存儲(chǔ)器DDS 5351 0

在FPGA設(shè)計(jì)中可以用LUT組建分布式的RAM

舉一個(gè)簡(jiǎn)單的例子,如果要實(shí)現(xiàn)一個(gè)6*1的mux可以用一個(gè)6輸入的LUT或者是2個(gè)4輸入的LUT來(lái)實(shí)現(xiàn),6輸入的LUT相當(dāng)于是6位地址線一位數(shù)據(jù)位,能夠存...

2022-05-13 標(biāo)簽:FPGA設(shè)計(jì)RAM分布式 4803 0

FPGA數(shù)字鐘方案設(shè)計(jì)

FPGA數(shù)字鐘方案設(shè)計(jì)

采用FPGA進(jìn)行的數(shù)字電路設(shè)計(jì)具有更大的靈活性和通用性,已成為目前數(shù)字電路設(shè)計(jì)的主流方法之一。

2019-07-24 標(biāo)簽:FPGA設(shè)計(jì)數(shù)字電路 4765 0

什么是ECO?ECO的應(yīng)用場(chǎng)景和實(shí)現(xiàn)流程

什么是ECO?ECO的應(yīng)用場(chǎng)景和實(shí)現(xiàn)流程

ECO指的是 Engineering Change Order ,即工程變更指令。目的是為了在設(shè)計(jì)的后期,快速靈活地做小范圍修改,從而盡可能的保持已經(jīng)驗(yàn)...

2023-04-23 標(biāo)簽:dspFPGA設(shè)計(jì)IC設(shè)計(jì) 4755 0

FPGA設(shè)計(jì)中BRAM的知識(shí)科普

FPGA設(shè)計(jì)中BRAM的知識(shí)科普

FPGA設(shè)計(jì)中,BRAM是一項(xiàng)非常關(guān)鍵的內(nèi)置存儲(chǔ)資源,F(xiàn)PGA開(kāi)發(fā)需要熟練使用BRAM,今天再?gòu)?fù)習(xí)一下BRAM的知識(shí),包括BRAM的定義、組成、應(yīng)用等等。

2023-08-15 標(biāo)簽:fpgaDRAMFPGA設(shè)計(jì) 4703 0

FPGA設(shè)計(jì)中的復(fù)位

FPGA設(shè)計(jì)中的復(fù)位

本系列整理數(shù)字系統(tǒng)設(shè)計(jì)的相關(guān)知識(shí)體系架構(gòu),為了方便后續(xù)自己查閱與求職準(zhǔn)備。在FPGA和ASIC設(shè)計(jì)中,對(duì)于復(fù)位這個(gè)問(wèn)題可以算是老生常談了,但是也是最容易...

2023-05-12 標(biāo)簽:fpgaFPGA設(shè)計(jì)觸發(fā)器 4635 0

ASIC和FPGA設(shè)計(jì)優(yōu)勢(shì)和流程比較

ASIC 和 FPGA 具有不同的價(jià)值主張,選擇其中之一之前,一定要對(duì)其進(jìn)行仔細(xì)評(píng)估。2種技術(shù)的比較信息非常豐富。這里介紹了ASIC和FPGA的優(yōu)勢(shì)與劣勢(shì)。

2017-11-25 標(biāo)簽:fpgaFPGA設(shè)計(jì)asic 4564 0

BGA如何快速在4個(gè)Ball之間均勻布孔扇出呢?

BGA如何快速在4個(gè)Ball之間均勻布孔扇出呢?

BGA扇出是EDA工程師的一項(xiàng)基本功,在布局完成后,先將BGA的Ball進(jìn)行打孔扇出,然后分層和4個(gè)方向?qū)GA內(nèi)部信號(hào)線引出到外部空間

2023-09-22 標(biāo)簽:PCB板FPGA設(shè)計(jì)BGA封裝 4548 0

同步時(shí)序設(shè)計(jì)靜態(tài)時(shí)序分析等f(wàn)pga設(shè)計(jì)技巧剖析

從大學(xué)時(shí)代第一次接觸FPGA至今已有10多年的時(shí)間,至今記得當(dāng)初第一次在EDA實(shí)驗(yàn)平臺(tái)上完成數(shù)字秒表、搶答器

2017-11-06 標(biāo)簽:FPGA設(shè)計(jì)靜態(tài)時(shí)序分析同步時(shí)序設(shè)計(jì) 4493 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國(guó)Lab Center Electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對(duì)生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會(huì)使編程的可視化和交互性加強(qiáng),編程門檻降低,即使沒(méi)有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識(shí)別
    識(shí)別
    +關(guān)注
  • FPGA開(kāi)發(fā)板
    FPGA開(kāi)發(fā)板
    +關(guān)注
    FPGA開(kāi)發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來(lái)實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過(guò)去汽車電子產(chǎn)品的開(kāi)發(fā)周期是漫長(zhǎng)的,而許多汽車制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長(zhǎng)寬,直插,貼片,焊盤的大小,管腳的長(zhǎng)寬,管腳的間距等)用圖形方式表現(xiàn)出來(lái),以便可以在畫pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開(kāi)發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫(kù)
    PCB封裝庫(kù)
    +關(guān)注
  • 語(yǔ)音交互
    語(yǔ)音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對(duì)于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場(chǎng)的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對(duì)的市場(chǎng)領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無(wú)焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長(zhǎng)線傳輸中的概念。特性阻抗是射頻傳輸線影響無(wú)線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會(huì)阻礙交變電流的流動(dòng),合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對(duì)齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問(wèn)和亂序訪問(wèn),并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個(gè)新的高性能協(xié)議。
  • 驅(qū)動(dòng)電流
    驅(qū)動(dòng)電流
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來(lái)為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號(hào)。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性價(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號(hào),也可以是壓力、溫度、濕度、位移、聲音等非電信號(hào);而AD分辨率指數(shù)字量變化一個(gè)最小量時(shí)模擬信號(hào)的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(9人)

1114502831 宋從超 唐老鴨 taylors liult03 阮如媛 藍(lán)天之約lc undefined_c1a 三四二一

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語(yǔ)言教程專題

電機(jī)控制 DSP 氮化鎵 功率放大器 ChatGPT 自動(dòng)駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無(wú)刷電機(jī) FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機(jī) PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機(jī) SPWM 充電樁 IPM 機(jī)器視覺(jué) 無(wú)人機(jī) 三菱電機(jī) ST
伺服電機(jī) SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國(guó)民技術(shù) Microchip
瑞薩 沁恒股份 全志 國(guó)民技術(shù) 瑞芯微 兆易創(chuàng)新 芯??萍?/a> Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚(yáng)興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費(fèi)諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂(lè)鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機(jī) 金升陽(yáng) 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長(zhǎng)晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運(yùn)算放大器 差動(dòng)放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變?cè)鲆娣糯笃? 隔離放大器
時(shí)鐘 時(shí)鐘振蕩器 時(shí)鐘發(fā)生器 時(shí)鐘緩沖器 定時(shí)器 寄存器 實(shí)時(shí)時(shí)鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚(yáng)聲器放大器 音頻轉(zhuǎn)換器 音頻開(kāi)關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開(kāi)關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計(jì) 溫度傳感器 壓力傳感器
電機(jī)驅(qū)動(dòng)器 步進(jìn)驅(qū)動(dòng)器 TWS BLDC 無(wú)刷直流驅(qū)動(dòng)器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開(kāi)關(guān)電源 步進(jìn)電機(jī) 無(wú)線充電 LabVIEW EMC PLC OLED 單片機(jī)
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語(yǔ)音識(shí)別 萬(wàn)用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機(jī)器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹(shù)莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計(jì):PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實(shí)戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號(hào)完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計(jì)教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語(yǔ)言基礎(chǔ)教程,c語(yǔ)言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點(diǎn)原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語(yǔ)言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開(kāi)發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語(yǔ)言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開(kāi)源硬件專題