0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > fpga設(shè)計(jì)

fpga設(shè)計(jì)

fpga設(shè)計(jì)

+關(guān)注9人關(guān)注

FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。

文章:348個(gè) 瀏覽:26452 帖子:90個(gè)

fpga設(shè)計(jì)技術(shù)

基于移位寄存器TDM的實(shí)現(xiàn)方式

基于移位寄存器TDM的實(shí)現(xiàn)方式

設(shè)計(jì)中兩片F(xiàn)PGA分割邊界的數(shù)據(jù)Sig1、Sig2、Sig3、Sig4……等一大波的信號(hào)被并行地加載到傳輸時(shí)鐘的上升沿上的移位寄存器中,并用相同的時(shí)鐘移出。

2023-05-26 標(biāo)簽:FPGA設(shè)計(jì)移位寄存器多路復(fù)用器 194 0

Vivado增量編譯的基本概念、優(yōu)點(diǎn)、使用方法以及注意事項(xiàng)

Vivado增量編譯的基本概念、優(yōu)點(diǎn)、使用方法以及注意事項(xiàng)

隨著FPGA設(shè)計(jì)的復(fù)雜度不斷提高,設(shè)計(jì)人員需要選擇更為高效的設(shè)計(jì)流程來保證開發(fā)效率和減少開發(fā)成本。其中,Vivado增量編譯是一種非常重要的設(shè)計(jì)流程。本...

2023-05-25 標(biāo)簽:fpgaFPGA設(shè)計(jì)時(shí)序 4477 0

FPGA跨時(shí)鐘域處理方法(一)

FPGA跨時(shí)鐘域處理方法(一)

跨時(shí)鐘域是FPGA設(shè)計(jì)中最容易出錯(cuò)的設(shè)計(jì)模塊,而且一旦跨時(shí)鐘域出現(xiàn)問題,定位排查會(huì)非常困難,因?yàn)榭鐣r(shí)鐘域問題一般是偶現(xiàn)的,而且除非是構(gòu)造特殊用例一般的仿...

2023-05-25 標(biāo)簽:fpgaFPGA設(shè)計(jì)信號(hào) 1839 0

驗(yàn)證必備:AMBA4 SystemVerilog Asseration

驗(yàn)證必備:AMBA4 SystemVerilog Asseration

ARM所推出的AMBA4總線相對(duì)來講還是應(yīng)用廣泛的。無論是芯片設(shè)計(jì)里還是FPGA設(shè)計(jì)里,時(shí)常能見到AMBA4總線的身影。

2023-05-24 標(biāo)簽:FPGA設(shè)計(jì)AMBA總線ARM處理器 701 0

處理FPGA原型設(shè)計(jì)需要多長時(shí)間?

處理FPGA原型設(shè)計(jì)需要多長時(shí)間?

FPGA設(shè)計(jì)的五個(gè)主要任務(wù):邏輯綜合、門級(jí)映射、整體功能邏輯布局、邏輯資源互連布線

2023-05-23 標(biāo)簽:FPGA設(shè)計(jì)EDA工具RTL 574 0

always組合邏輯塊的講解和譯碼器的實(shí)現(xiàn)

always組合邏輯塊的講解和譯碼器的實(shí)現(xiàn)

always 語句是重復(fù)執(zhí)行的。always 語句塊從 0 時(shí)刻開始執(zhí)行其中的行為語句;當(dāng)執(zhí)行完最后一條語句后,便再次執(zhí)行語句塊中的第一條語句,如此循環(huán)反復(fù)。

2023-05-22 標(biāo)簽:FPGA設(shè)計(jì)led燈譯碼器 2742 0

聊一聊FPGA中的IP都是怎么區(qū)分的呢?

聊一聊FPGA中的IP都是怎么區(qū)分的呢?

現(xiàn)今的FPGA設(shè)計(jì)規(guī)模越來越龐大,功能越來越復(fù)雜,因此FPGA設(shè)計(jì)的每個(gè)部分都從頭開始著手是不切實(shí)際的。

2023-05-22 標(biāo)簽:FPGA設(shè)計(jì)寄存器EDA工具 2014 0

FPGA中的異步復(fù)位or同步復(fù)位or異步復(fù)位同步釋放

FPGA中的異步復(fù)位or同步復(fù)位or異步復(fù)位同步釋放

在FPGA設(shè)計(jì)中,復(fù)位電路是非常重要的一部分,它能夠確保系統(tǒng)從初始狀態(tài)開始啟動(dòng)并保證正確運(yùn)行。

2023-05-22 標(biāo)簽:FPGA設(shè)計(jì)寄存器計(jì)數(shù)器 1005 0

Xilinx 7系列FPGA高性能接口與2.5V/3.3V外設(shè)IO接口設(shè)計(jì)

Xilinx 7系列FPGA高性能接口與2.5V/3.3V外設(shè)IO接口設(shè)計(jì)

Xilinx 7系列FPGA IO Bank分為HP Bank和HR Bank,HP IO接口電壓范圍為1.2V~1.8V,可以實(shí)現(xiàn)高性能,HR IO接...

2023-05-15 標(biāo)簽:轉(zhuǎn)換器電阻器FPGA設(shè)計(jì) 3819 0

FPGA中三種常用復(fù)位電路

FPGA中三種常用復(fù)位電路

在FPGA設(shè)計(jì)中,復(fù)位電路是非常重要的一部分,它能夠確保系統(tǒng)從初始狀態(tài)開始啟動(dòng)并保證正確運(yùn)行。本文將分別介紹FPGA中三種常用復(fù)位電路:同步復(fù)位、異步復(fù)...

2023-05-14 標(biāo)簽:fpgaFPGA設(shè)計(jì)寄存器 2436 0

Verilog常用的循環(huán)語句及用途

本文主要介紹verilog常用的循環(huán)語句,循環(huán)語句的用途,主要是可以多次執(zhí)行相同的代碼或邏輯。

2023-05-12 標(biāo)簽:fpgaFPGA設(shè)計(jì)verilog 2113 0

FPGA設(shè)計(jì)的D觸發(fā)器與亞穩(wěn)態(tài)

FPGA設(shè)計(jì)的D觸發(fā)器與亞穩(wěn)態(tài)

本系列整理數(shù)字系統(tǒng)設(shè)計(jì)的相關(guān)知識(shí)體系架構(gòu),為了方便后續(xù)自己查閱與求職準(zhǔn)備。對(duì)于FPGA和ASIC設(shè)計(jì)中,D觸發(fā)器是最常用的器件,也可以說是時(shí)序邏輯的核心...

2023-05-12 標(biāo)簽:fpgaFPGA設(shè)計(jì)反相器 1877 0

FPGA設(shè)計(jì)中的復(fù)位

FPGA設(shè)計(jì)中的復(fù)位

本系列整理數(shù)字系統(tǒng)設(shè)計(jì)的相關(guān)知識(shí)體系架構(gòu),為了方便后續(xù)自己查閱與求職準(zhǔn)備。在FPGA和ASIC設(shè)計(jì)中,對(duì)于復(fù)位這個(gè)問題可以算是老生常談了,但是也是最容易...

2023-05-12 標(biāo)簽:fpgaFPGA設(shè)計(jì)觸發(fā)器 4635 0

FPGA設(shè)計(jì):always組合邏輯塊的講解和譯碼器的實(shí)現(xiàn)

FPGA設(shè)計(jì):always組合邏輯塊的講解和譯碼器的實(shí)現(xiàn)

always 語句是重復(fù)執(zhí)行的。always 語句塊從 0 時(shí)刻開始執(zhí)行其中的行為語句;當(dāng)執(zhí)行完最后一條語句后,便再次執(zhí)行語句塊中的第一條語句,如此循環(huán)...

2023-05-12 標(biāo)簽:fpgaFPGA設(shè)計(jì)仿真 3952 0

詳細(xì)討論SERDES用到的各種關(guān)鍵技術(shù)

詳細(xì)討論SERDES用到的各種關(guān)鍵技術(shù)

隨著大數(shù)據(jù)的興起以及信息技術(shù)的快速發(fā)展,數(shù)據(jù)傳輸對(duì)總線帶寬的要求越來越高,并行傳輸技術(shù)的發(fā)展受到了時(shí)序同步困難、信號(hào)偏移嚴(yán)重,抗干擾能力弱以及設(shè)計(jì)復(fù)雜度...

2023-05-10 標(biāo)簽:收發(fā)器濾波器FPGA設(shè)計(jì) 1592 0

ISE中ChipScope使用教程

ISE中ChipScope使用教程

ChipScope是Xilinx提供的一個(gè)校驗(yàn)FPGA設(shè)計(jì)的工具。它的本質(zhì)是一個(gè)虛擬的邏輯分析儀,能調(diào)用FPGA內(nèi)部的邏輯資源對(duì)代碼中的各個(gè)變量進(jìn)行抓取分析。

2023-05-08 標(biāo)簽:FPGA設(shè)計(jì)led燈ROM 6138 0

FPGA原型系統(tǒng)裝配文件:Assign Traces介紹

FPGA原型系統(tǒng)裝配文件:Assign Traces介紹

多片F(xiàn)PGA原型驗(yàn)證系統(tǒng)的拓?fù)溥B接方式各不相同,理想的多片F(xiàn)PGA原型驗(yàn)證系統(tǒng)應(yīng)該可以靈活配置,可以使用其相應(yīng)的EDA工具

2023-05-08 標(biāo)簽:FPGA設(shè)計(jì)連接器EDA工具 476 0

IP庫新增10多個(gè)功能IP簡介

IP庫新增10多個(gè)功能IP簡介

一直想做一個(gè)可以供大家學(xué)習(xí)、使用的開源IP庫,類似OpenCores,OC上IP在領(lǐng)域內(nèi)的IP很少,通用性強(qiáng)一點(diǎn),所以作為OC的“補(bǔ)充”,所以做了一個(gè)開...

2023-05-06 標(biāo)簽:FPGA設(shè)計(jì)接收器FIR 820 0

AMBA4 SystemVerilog Asseration驗(yàn)證

AMBA4 SystemVerilog Asseration驗(yàn)證

ARM所推出的AMBA4總線相對(duì)來講還是應(yīng)用廣泛的。無論是芯片設(shè)計(jì)里還是FPGA設(shè)計(jì)里,時(shí)常能見到AMBA4總線的身影。

2023-05-05 標(biāo)簽:armFPGA設(shè)計(jì)AMBA總線 702 0

Vivado使用進(jìn)階:讀懂用好Timing Report

Vivado使用進(jìn)階:讀懂用好Timing Report

《XDC 約束技巧》系列中討論了XDC 約束的設(shè)置方法、約束思路和一些容易混淆的地方。我們提到過約束是為了設(shè)計(jì)服務(wù),寫入 Vivado中 的 XDC 實(shí)...

2023-05-04 標(biāo)簽:fpgaFPGA設(shè)計(jì)時(shí)序分析 3968 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國Lab Center Electronics公司出版的EDA工具軟件(該軟件中國總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對(duì)生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會(huì)使編程的可視化和交互性加強(qiáng),編程門檻降低,即使沒有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識(shí)別
    識(shí)別
    +關(guān)注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關(guān)注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過去汽車電子產(chǎn)品的開發(fā)周期是漫長的,而許多汽車制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長寬,直插,貼片,焊盤的大小,管腳的長寬,管腳的間距等)用圖形方式表現(xiàn)出來,以便可以在畫pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫
    PCB封裝庫
    +關(guān)注
  • 語音交互
    語音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對(duì)于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場(chǎng)的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對(duì)的市場(chǎng)領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會(huì)阻礙交變電流的流動(dòng),合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對(duì)齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個(gè)新的高性能協(xié)議。
  • 驅(qū)動(dòng)電流
    驅(qū)動(dòng)電流
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號(hào)。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性價(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號(hào),也可以是壓力、溫度、濕度、位移、聲音等非電信號(hào);而AD分辨率指數(shù)字量變化一個(gè)最小量時(shí)模擬信號(hào)的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(9人)

1114502831 宋從超 唐老鴨 taylors liult03 阮如媛 藍(lán)天之約lc undefined_c1a 三四二一

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題

電機(jī)控制 DSP 氮化鎵 功率放大器 ChatGPT 自動(dòng)駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無刷電機(jī) FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機(jī) PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機(jī) SPWM 充電樁 IPM 機(jī)器視覺 無人機(jī) 三菱電機(jī) ST
伺服電機(jī) SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國民技術(shù) Microchip
瑞薩 沁恒股份 全志 國民技術(shù) 瑞芯微 兆易創(chuàng)新 芯??萍?/a> Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚(yáng)興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費(fèi)諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機(jī) 金升陽 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運(yùn)算放大器 差動(dòng)放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變?cè)鲆娣糯笃? 隔離放大器
時(shí)鐘 時(shí)鐘振蕩器 時(shí)鐘發(fā)生器 時(shí)鐘緩沖器 定時(shí)器 寄存器 實(shí)時(shí)時(shí)鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚(yáng)聲器放大器 音頻轉(zhuǎn)換器 音頻開關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計(jì) 溫度傳感器 壓力傳感器
電機(jī)驅(qū)動(dòng)器 步進(jìn)驅(qū)動(dòng)器 TWS BLDC 無刷直流驅(qū)動(dòng)器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開關(guān)電源 步進(jìn)電機(jī) 無線充電 LabVIEW EMC PLC OLED 單片機(jī)
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語音識(shí)別 萬用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機(jī)器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計(jì):PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實(shí)戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號(hào)完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計(jì)教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語言基礎(chǔ)教程,c語言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點(diǎn)原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題