0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

標(biāo)簽 > fpga設(shè)計(jì)

fpga設(shè)計(jì)

fpga設(shè)計(jì)

+關(guān)注9人關(guān)注

FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。

文章:348個(gè) 瀏覽:26452 帖子:90個(gè)

fpga設(shè)計(jì)技術(shù)

FPGA設(shè)計(jì)中的模塊化設(shè)計(jì)

FPGA設(shè)計(jì)中的模塊化設(shè)計(jì)

模塊化設(shè)計(jì)是FPGA設(shè)計(jì)中一個(gè)很重要的技巧,它能夠使一個(gè)大型設(shè)計(jì)的分工協(xié)作、仿真測(cè)試更加容易,代碼維護(hù)或升級(jí)也更加便利。

2023-10-07 標(biāo)簽:FPGA設(shè)計(jì)CLK 1404 0

如何FPGA內(nèi)部的RAM進(jìn)行讀改寫操作

如何FPGA內(nèi)部的RAM進(jìn)行讀改寫操作

存儲(chǔ)器是FPGA設(shè)計(jì)中的常用單元,對(duì)存儲(chǔ)器的操作,最基礎(chǔ)的就是讀寫操作,還有一種就是讀改寫操作,即先讀出存儲(chǔ)器中的數(shù)據(jù),對(duì)其進(jìn)行修改后,再寫入存儲(chǔ)器。這...

2023-09-28 標(biāo)簽:fpgaFPGA設(shè)計(jì)存儲(chǔ)器 2290 0

做好FPGA設(shè)計(jì)需要掌握哪些知識(shí)

成為一名說得過去的FPGA設(shè)計(jì)者,需要練好5項(xiàng)基本功:仿真、綜合、時(shí)序分析、調(diào)試、驗(yàn)證。

2023-09-28 標(biāo)簽:fpgaFPGA設(shè)計(jì)仿真 823 0

如何脫離Vivado建立單獨(dú)仿真環(huán)境軟件呢?

如何脫離Vivado建立單獨(dú)仿真環(huán)境軟件呢?

FPGA項(xiàng)目開發(fā)的過程中,需要完成設(shè)計(jì)代碼開發(fā)、驗(yàn)證環(huán)境搭建、仿真分析、板級(jí)驗(yàn)證等操作,在這個(gè)過程中,許多操作雖然必不可少但是步驟是重復(fù)的。

2023-09-27 標(biāo)簽:fpgaFPGA設(shè)計(jì)仿真 1180 0

降低時(shí)序報(bào)告中邏輯延遲的方法

降低時(shí)序報(bào)告中邏輯延遲的方法

在FPGA邏輯電路設(shè)計(jì)中,F(xiàn)PGA設(shè)計(jì)能達(dá)到的最高性能往往由以下因素決定。

2023-09-26 標(biāo)簽:fpga邏輯電路FPGA設(shè)計(jì) 1476 0

FPGA浮點(diǎn)IP內(nèi)核究竟有哪些優(yōu)勢(shì)呢?

FPGA浮點(diǎn)IP內(nèi)核究竟有哪些優(yōu)勢(shì)呢?

最近出現(xiàn)的 FPGA設(shè)計(jì)工具和 IP有效減少了計(jì)算占用的資源,大大簡(jiǎn)化了浮點(diǎn)數(shù)據(jù)通路的實(shí)現(xiàn)。而且,與數(shù)字信號(hào)處理器不同

2023-09-25 標(biāo)簽:dspFPGA設(shè)計(jì)乘法器 637 0

求一種基于FPGA時(shí)間數(shù)字轉(zhuǎn)換(TDC)設(shè)計(jì)方案

求一種基于FPGA時(shí)間數(shù)字轉(zhuǎn)換(TDC)設(shè)計(jì)方案

時(shí)間數(shù)字轉(zhuǎn)換(Time-to-Digital Converter,TDC)是一種用來測(cè)量時(shí)間的電路,它將連續(xù)的時(shí)間信號(hào)轉(zhuǎn)換為數(shù)字信號(hào),從而實(shí)現(xiàn)時(shí)間測(cè)量的數(shù)字化。

2023-09-22 標(biāo)簽:fpgaFPGA設(shè)計(jì)寄存器 3095 0

BGA如何快速在4個(gè)Ball之間均勻布孔扇出呢?

BGA如何快速在4個(gè)Ball之間均勻布孔扇出呢?

BGA扇出是EDA工程師的一項(xiàng)基本功,在布局完成后,先將BGA的Ball進(jìn)行打孔扇出,然后分層和4個(gè)方向?qū)GA內(nèi)部信號(hào)線引出到外部空間

2023-09-22 標(biāo)簽:PCB板FPGA設(shè)計(jì)BGA封裝 4548 0

如何實(shí)現(xiàn)一種基于FPGA全數(shù)字高碼率QPSK調(diào)制設(shè)計(jì)?

如何實(shí)現(xiàn)一種基于FPGA全數(shù)字高碼率QPSK調(diào)制設(shè)計(jì)?

調(diào)制信號(hào)的符號(hào)速率達(dá)到500Mbps,根據(jù)奈奎斯特采樣定理,DA的采樣頻率采用2Gbps。

2023-09-22 標(biāo)簽:濾波器FPGA設(shè)計(jì)調(diào)制解調(diào)器 1843 0

基于單光子探測(cè)的時(shí)間相關(guān)計(jì)數(shù)TCSPC設(shè)計(jì)實(shí)現(xiàn)

基于單光子探測(cè)的時(shí)間相關(guān)計(jì)數(shù)TCSPC設(shè)計(jì)實(shí)現(xiàn)

TCSPC時(shí)間相關(guān)單光子計(jì)數(shù)技術(shù)是一種成熟且通用的單光子計(jì)數(shù)技術(shù),是一種功能強(qiáng)大的分析方法,目前廣泛應(yīng)用于熒光壽命測(cè)量、時(shí)間分辨光譜、熒光壽命成像、飛行...

2023-09-22 標(biāo)簽:FPGA設(shè)計(jì)存儲(chǔ)器數(shù)字轉(zhuǎn)換器 5983 3

用Verilog在FPGA上實(shí)現(xiàn)低通濾波器

用Verilog在FPGA上實(shí)現(xiàn)低通濾波器

在本文中,我們將簡(jiǎn)要介紹不同類型的濾波器,然后學(xué)習(xí)如何實(shí)現(xiàn)移動(dòng)平均濾波器并使用CIC架構(gòu)對(duì)其進(jìn)行優(yōu)化。

2023-10-02 標(biāo)簽:FPGA設(shè)計(jì)低通濾波器加法器 2381 0

Mojo v3 FPGA板與16x2 LCD模塊是如何進(jìn)行連接的呢?

Mojo v3 FPGA板與16x2 LCD模塊是如何進(jìn)行連接的呢?

在本教程中,我們將使用Verilog HDL設(shè)計(jì)一個(gè)數(shù)字電路,該電路與基于HD44780 LCD控制器/驅(qū)動(dòng)芯片的通用LCD模塊連接。Mojo V3 F...

2023-09-20 標(biāo)簽:FPGA設(shè)計(jì)LCD控制器多路復(fù)用器 839 0

怎樣使用Verilator進(jìn)行Verilog Lint呢?

FPGA設(shè)計(jì)是無情的,所以我們需要利用能獲得的任何軟件進(jìn)行檢查

2023-09-20 標(biāo)簽:FPGA設(shè)計(jì)仿真器SDL 1663 0

FPGA設(shè)計(jì)中的亞穩(wěn)態(tài)解析

FPGA設(shè)計(jì)中的亞穩(wěn)態(tài)解析

說起亞穩(wěn)態(tài),首先我們先來了解一下什么叫做亞穩(wěn)態(tài)。亞穩(wěn)態(tài)現(xiàn)象:信號(hào)在無關(guān)信號(hào)或者異步時(shí)鐘域之間傳輸時(shí)導(dǎo)致數(shù)字器件失效的一種現(xiàn)象。

2023-09-19 標(biāo)簽:fpgaFPGA設(shè)計(jì)信號(hào) 1753 0

如何使用Verilog硬件描述語言描述時(shí)序邏輯電路?

如何使用Verilog硬件描述語言描述時(shí)序邏輯電路?

時(shí)序邏輯電路的特點(diǎn)是輸出信號(hào)不僅與電路的輸入有關(guān),還與電路原來的狀態(tài)有關(guān)。

2023-09-17 標(biāo)簽:FPGA設(shè)計(jì)反相器D觸發(fā)器 2496 0

vivado主界面及設(shè)計(jì)流程

vivado主界面及設(shè)計(jì)流程

Vivado設(shè)計(jì)主界面,它的左邊是設(shè)計(jì)流程導(dǎo)航窗口,是按照FPGA的設(shè)計(jì)流程設(shè)置的,只要按照導(dǎo)航窗口一項(xiàng)一項(xiàng)往下進(jìn)行,就會(huì)完成從設(shè)計(jì)輸入到最后下載到開發(fā)...

2023-09-17 標(biāo)簽:FPGA設(shè)計(jì)寄存器TCL 2651 0

為什么說Vivado是基于IP的設(shè)計(jì)?

為什么說Vivado是基于IP的設(shè)計(jì)?

Vivado是Xilinx公司2012年推出的新一代集成開發(fā)環(huán)境,它強(qiáng)調(diào)系統(tǒng)級(jí)的設(shè)計(jì)思想及以IP為核心的設(shè)計(jì)理念,突出IP核在數(shù)字系統(tǒng)設(shè)計(jì)中的作用。

2023-09-17 標(biāo)簽:FPGA設(shè)計(jì)寄存器C語言 1815 0

介紹一種IP控制信號(hào)的處理方式

介紹一種IP控制信號(hào)的處理方式

在ASIC/FPGA項(xiàng)目中,我們會(huì)用到很多IP,其中有很多IP存在內(nèi)部控制信號(hào)以及內(nèi)部狀態(tài)信號(hào)。

2023-09-15 標(biāo)簽:fpga控制器FPGA設(shè)計(jì) 1276 0

FPGA設(shè)計(jì)存在的4類時(shí)序路徑

FPGA設(shè)計(jì)存在的4類時(shí)序路徑

命令set_multicycle_path常用來約束放松路徑的約束。通常情況下,這種路徑具有一個(gè)典型的特征:數(shù)據(jù)多個(gè)周期翻轉(zhuǎn)一次,如下圖所示。因此,我們...

2023-09-14 標(biāo)簽:fpgaFPGA設(shè)計(jì)命令 887 0

請(qǐng)問如何將C語言算法移植到FPGA上?

確定算法:首先,你需要確保要移植的C語言算法是合適的。FPGA適合并行計(jì)算和高度可定制的應(yīng)用。因此,你需要選擇一個(gè)適合FPGA實(shí)現(xiàn)的算法。

2023-09-12 標(biāo)簽:FPGA設(shè)計(jì)VHDL語言C語言 1731 0

相關(guān)標(biāo)簽

相關(guān)話題

換一批
  • Protues
    Protues
    +關(guān)注
    Proteus軟件是英國(guó)Lab Center Electronics公司出版的EDA工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它EDA工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。
  • 靜電防護(hù)
    靜電防護(hù)
    +關(guān)注
    為防止靜電積累所引起的人身電擊、火災(zāi)和爆炸、電子器件失效和損壞,以及對(duì)生產(chǎn)的不良影響而采取的防范措施。其防范原則主要是抑制靜電的產(chǎn)生,加速靜電泄漏,進(jìn)行靜電中和等。
  • Altium Designer
    Altium Designer
    +關(guān)注
  • FPGA芯片
    FPGA芯片
    +關(guān)注
    FPGA(Field-Programmable Gate Array),即現(xiàn)場(chǎng)可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。
  • ArduBlock
    ArduBlock
    +關(guān)注
    ArduBlock軟件是Arduino官方編程環(huán)境的第三方軟件,目前必須依附于Arduino軟件下運(yùn)行,區(qū)別于Arduino文本式編程環(huán)境,ArduBlock是以圖形化積木搭建的方式編程的,這樣的方式會(huì)使編程的可視化和交互性加強(qiáng),編程門檻降低,即使沒有編程經(jīng)驗(yàn)的人也可以嘗試給Arduino控制器編寫程序。
  • AD10
    AD10
    +關(guān)注
  • 識(shí)別
    識(shí)別
    +關(guān)注
  • FPGA開發(fā)板
    FPGA開發(fā)板
    +關(guān)注
    FPGA開發(fā)板在基于MCU、定制ASIC和體積龐大的電線束來實(shí)現(xiàn)引擎及控制電子的系統(tǒng)方案已發(fā)展至接近其技術(shù)和應(yīng)用極限,汽車工業(yè)正面臨新的設(shè)計(jì)挑戰(zhàn)。過去汽車電子產(chǎn)品的開發(fā)周期是漫長(zhǎng)的,而許多汽車制造商現(xiàn)正致力于在更短的時(shí)間內(nèi),裝備消費(fèi)者所需的新一代汽車。
  • PCB封裝
    PCB封裝
    +關(guān)注
    pcb封裝就是把 實(shí)際的電子元器件,芯片等的各種參數(shù)(比如元器件的大小,長(zhǎng)寬,直插,貼片,焊盤的大小,管腳的長(zhǎng)寬,管腳的間距等)用圖形方式表現(xiàn)出來,以便可以在畫pcb圖時(shí)進(jìn)行調(diào)用。
  • QUARTUS II
    QUARTUS II
    +關(guān)注
    Quartus II 是Altera公司推出的綜合性CPLD/FPGA開發(fā)軟件,軟件支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。
  • PCB封裝庫
    PCB封裝庫
    +關(guān)注
  • 語音交互
    語音交互
    +關(guān)注
  • AD09
    AD09
    +關(guān)注
  • PDN
    PDN
    +關(guān)注
  • QuickPcb
    QuickPcb
    +關(guān)注
  • Artix-7
    Artix-7
    +關(guān)注
      Artix-7 系列:相對(duì)于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封裝、統(tǒng)一的 Virtex 系列架構(gòu),能滿足低成本大批量市場(chǎng)的性能要求,這也正是此前 ASSP、ASIC 和低成本 FPGA 所針對(duì)的市場(chǎng)領(lǐng)域。
  • VHDL代碼
    VHDL代碼
    +關(guān)注
  • Protel 99 se
    Protel 99 se
    +關(guān)注
  • powerlink
    powerlink
    +關(guān)注
  • candence
    candence
    +關(guān)注
  • 面包板
    面包板
    +關(guān)注
    面包板是由于板子上有很多小插孔,專為電子電路的無焊接實(shí)驗(yàn)設(shè)計(jì)制造的。由于各種電子元器件可根據(jù)需要隨意插入或拔出,免去了焊接,節(jié)省了電路的組裝時(shí)間,而且元件可以重復(fù)使用,所以非常適合電子電路的組裝、調(diào)試和訓(xùn)練。
  • 特性阻抗
    特性阻抗
    +關(guān)注
    特性阻抗又稱特征阻抗,它不是直流電阻,屬于長(zhǎng)線傳輸中的概念。特性阻抗是射頻傳輸線影響無線電波電壓、電流的幅值和相位變化的固有特性,等于各處的電壓與電流的比值,用V/I表示。在射頻電路中,電阻、電容、電感都會(huì)阻礙交變電流的流動(dòng),合稱阻抗。電阻是吸收電磁能量的,理想電容和電感不消耗電磁能量。
  • AXI
    AXI
    +關(guān)注
    AXI是一種總線協(xié)議,該協(xié)議是ARM公司提出的AMBA3.0協(xié)議中最重要的部分,是一種面向高性能、高帶寬、低延遲的片內(nèi)總線。它的地址/控制和數(shù)據(jù)相位是分離的,支持不對(duì)齊的數(shù)據(jù)傳輸,同時(shí)在突發(fā)傳輸中,只需要首地址,同時(shí)分離的讀寫數(shù)據(jù)通道、并支持Outstanding傳輸訪問和亂序訪問,并更加容易進(jìn)行時(shí)序收斂。AXI是AMBA中一個(gè)新的高性能協(xié)議。
  • 驅(qū)動(dòng)電流
    驅(qū)動(dòng)電流
    +關(guān)注
  • 時(shí)鐘源
    時(shí)鐘源
    +關(guān)注
    時(shí)鐘源用來為環(huán)形脈沖發(fā)生器提供頻率穩(wěn)定且電平匹配的方波時(shí)鐘脈沖信號(hào)。它通常由石英 晶體振蕩器和與非門組成的正反饋振蕩電路組成,其輸出送至環(huán)形脈沖發(fā)生器。
  • FPGA教程
    FPGA教程
    +關(guān)注
  • Kintex-7
    Kintex-7
    +關(guān)注
      Kintex-7系列:Kintex-7 系列是一種新型 FPGA,能以不到 Virtex-6 系列一半的價(jià)格實(shí)現(xiàn)與其相當(dāng)性能,性價(jià)比提高了一倍,功耗降低了一半。
  • AD采樣
    AD采樣
    +關(guān)注
      AD轉(zhuǎn)換采樣頻率指完成一次從模擬轉(zhuǎn)換到數(shù)字的AD轉(zhuǎn)換所需時(shí)間的倒數(shù),模擬量可以是電壓、電流等電信號(hào),也可以是壓力、溫度、濕度、位移、聲音等非電信號(hào);而AD分辨率指數(shù)字量變化一個(gè)最小量時(shí)模擬信號(hào)的變化量。
  • 紅外觸摸屏
    紅外觸摸屏
    +關(guān)注
  • Protel DXP
    Protel DXP
    +關(guān)注
換一批

關(guān)注此標(biāo)簽的用戶(9人)

1114502831 宋從超 唐老鴨 taylors liult03 阮如媛 藍(lán)天之約lc undefined_c1a 三四二一

編輯推薦廠商產(chǎn)品技術(shù)軟件/工具OS/語言教程專題

電機(jī)控制 DSP 氮化鎵 功率放大器 ChatGPT 自動(dòng)駕駛 TI 瑞薩電子
BLDC PLC 碳化硅 二極管 OpenAI 元宇宙 安森美 ADI
無刷電機(jī) FOC IGBT 逆變器 文心一言 5G 英飛凌 羅姆
直流電機(jī) PID MOSFET 傳感器 人工智能 物聯(lián)網(wǎng) NXP 賽靈思
步進(jìn)電機(jī) SPWM 充電樁 IPM 機(jī)器視覺 無人機(jī) 三菱電機(jī) ST
伺服電機(jī) SVPWM 光伏發(fā)電 UPS AR 智能電網(wǎng) 國(guó)民技術(shù) Microchip
瑞薩 沁恒股份 全志 國(guó)民技術(shù) 瑞芯微 兆易創(chuàng)新 芯??萍?/a> Altium
德州儀器 Vishay Micron Skyworks AMS TAIYOYUDEN 納芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 揚(yáng)興科技
microchip TDK Rohm Silicon Labs 圣邦微電子 安費(fèi)諾工業(yè) ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 樂鑫 Realtek ERNI電子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飛凌
Nexperia Lattice KEMET 順絡(luò)電子 霍尼韋爾 pulse ISSI NXP
Xilinx 廣瀨電機(jī) 金升陽 君耀電子 聚洵 Liteon 新潔能 Maxim
MPS 億光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 風(fēng)華高科 WINBOND 長(zhǎng)晶科技 晶導(dǎo)微電子 上海貝嶺 KOA Echelon
Coilcraft LRC trinamic
放大器 運(yùn)算放大器 差動(dòng)放大器 電流感應(yīng)放大器 比較器 儀表放大器 可變?cè)鲆娣糯笃? 隔離放大器
時(shí)鐘 時(shí)鐘振蕩器 時(shí)鐘發(fā)生器 時(shí)鐘緩沖器 定時(shí)器 寄存器 實(shí)時(shí)時(shí)鐘 PWM 調(diào)制器
視頻放大器 功率放大器 頻率轉(zhuǎn)換器 揚(yáng)聲器放大器 音頻轉(zhuǎn)換器 音頻開關(guān) 音頻接口 音頻編解碼器
模數(shù)轉(zhuǎn)換器 數(shù)模轉(zhuǎn)換器 數(shù)字電位器 觸摸屏控制器 AFE ADC DAC 電源管理
線性穩(wěn)壓器 LDO 開關(guān)穩(wěn)壓器 DC/DC 降壓轉(zhuǎn)換器 電源模塊 MOSFET IGBT
振蕩器 諧振器 濾波器 電容器 電感器 電阻器 二極管 晶體管
變送器 傳感器 解析器 編碼器 陀螺儀 加速計(jì) 溫度傳感器 壓力傳感器
電機(jī)驅(qū)動(dòng)器 步進(jìn)驅(qū)動(dòng)器 TWS BLDC 無刷直流驅(qū)動(dòng)器 濕度傳感器 光學(xué)傳感器 圖像傳感器
數(shù)字隔離器 ESD 保護(hù) 收發(fā)器 橋接器 多路復(fù)用器 氮化鎵 PFC 數(shù)字電源
開關(guān)電源 步進(jìn)電機(jī) 無線充電 LabVIEW EMC PLC OLED 單片機(jī)
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 藍(lán)牙 RFID Wi-Fi SIGFOX
Type-C USB 以太網(wǎng) 仿真器 RISC RAM 寄存器 GPU
語音識(shí)別 萬用表 CPLD 耦合 電路仿真 電容濾波 保護(hù)電路 看門狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 閾值電壓 UART 機(jī)器學(xué)習(xí) TensorFlow
Arduino BeagleBone 樹莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 華秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB設(shè)計(jì):PADS教程,PADS視頻教程 鄭振宇老師:Altium Designer教程,Altium Designer視頻教程
張飛實(shí)戰(zhàn)電子視頻教程 朱有鵬老師:海思HI3518e教程,HI3518e視頻教程
李增老師:信號(hào)完整性教程,高速電路仿真教程 華為鴻蒙系統(tǒng)教程,HarmonyOS視頻教程
賽盛:EMC設(shè)計(jì)教程,EMC視頻教程 杜洋老師:STM32教程,STM32視頻教程
唐佐林:c語言基礎(chǔ)教程,c語言基礎(chǔ)視頻教程 張飛:BUCK電源教程,BUCK電源視頻教程
正點(diǎn)原子:FPGA教程,F(xiàn)PGA視頻教程 韋東山老師:嵌入式教程,嵌入式視頻教程
張先鳳老師:C語言基礎(chǔ)視頻教程 許孝剛老師:Modbus通訊視頻教程
王振濤老師:NB-IoT開發(fā)視頻教程 Mill老師:FPGA教程,Zynq視頻教程
C語言視頻教程 RK3566芯片資料合集
朱有鵬老師:U-Boot源碼分析視頻教程 開源硬件專題