電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>音視頻及家電>東京出租車已成功試驗了多語言語音翻譯系統(tǒng)

東京出租車已成功試驗了多語言語音翻譯系統(tǒng)

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關(guān)推薦

基于FPGA的出租車計費系統(tǒng)設(shè)計

本文基于FPGA,設(shè)計了一種出租車的計費系統(tǒng),它可以直觀地顯示出租車行駛的里程和乘客應(yīng)付的費用。
2011-10-18 16:35:104668

多語言開發(fā)的流程詳解

現(xiàn)在不少應(yīng)用都是要求有多語言切換的, 使用QT開發(fā)上位機也同樣需要做多語言功能, 不過QT是自帶了多語言翻譯功能, 可以很方便的借助原生工具進行, 下面就簡單來看看多語言開發(fā)的流程!
2023-11-30 09:08:29321

出租車有無客的顯示屏

出租車有無客的顯示屏(包含控件)
2015-09-02 10:44:49

出租車行駛里程計數(shù)

proteus仿真單片機實驗實訓(xùn)仿真文件 出租車行駛里程計數(shù)
2016-10-22 10:59:33

出租車計價器系統(tǒng)設(shè)計

們帶來了出行的享受。但是總存在買賣糾紛困擾著行業(yè)的發(fā)展。然而解決這一矛盾的最好方法就是改良出租車的計價器,用更加精良的計價器來為乘客提供更加方便快捷的服務(wù)?;趩纹瑱C系統(tǒng)設(shè)計的出租車計價器是以單片機作為
2012-05-21 13:26:10

出租車計價器設(shè)計的原理圖和相關(guān)文檔

出租車計價器的文檔,挺有用的
2016-07-12 22:58:19

出租車計費系統(tǒng)

誰有出租車的計費系統(tǒng)或著套件呀!急需呀!
2013-10-22 17:39:07

出租車計費系統(tǒng)畢業(yè)設(shè)計求高人指點

鄙人畢業(yè)設(shè)計選的是基于FPGA的出租車計費系統(tǒng)的設(shè)計,但是現(xiàn)在遇到了一些問題,時間又比較緊迫,目前還沒有完成。如各位大神有相關(guān)方面的資料或信息,能否支援一下!不勝感激,郵箱372406340@qq.com.謝謝大神,救人一命勝造七級浮屠??!
2014-05-11 23:18:29

出租車計費系統(tǒng)設(shè)計

出租車計費系統(tǒng)設(shè)計,才有外部時鐘方式。
2014-02-28 10:13:53

語音出租車計價器設(shè)計單片機源碼與原理圖等資料

畢設(shè)做的出租車計價器,分享下原理圖程序具體功能如下:1.白天起步價為10元,里程為3公里;起步里程之后單價為1.8元/公里;晚上(22:00-7:00)單價增加50%;2.實時顯示總金額與總里程
2017-12-06 11:19:29

C++畢業(yè)設(shè)計出租車計價器

C++畢業(yè)設(shè)計出租車計價器.doc
2017-08-05 22:04:54

stn3k出租車電路圖(桑塔納3000型轎車)

stn3k出租車電路圖(桑塔納3000型轎車) 
2008-08-04 00:23:25

【FPGA設(shè)計實例】出租車計價器VHDL程序

出租車計價器VHDL程序--文件名:taxi.hd --功能:出租車計價器利用FPGA輕松實現(xiàn)出租車計價器功能 程序仿真圖注:1. 仿真圖中秒跟分的關(guān)系為3進制,即w為2時就歸0; 2. 出租車
2012-03-05 14:51:36

【管理員】【分級菜單】【語音】【3D演示】功能的出租車...

】【3D演示】【自由設(shè)置】功能的出租車計費系統(tǒng)。(游戲功能今后添加了再更新。)這次是我自己的解說哈~力求說得詳細,聲音不好聽見諒哈{:4_97:}歡迎大家關(guān)注我的新浪微博: _頭低低先森{:13:}原理圖
2013-07-04 22:14:45

串口屏能否支持全球多語言功能?

串口屏能否支持全球多語言功能?
2019-03-27 15:11:11

書求一個基于multisim的出租車計費器的電路圖!

書求一個基于multisim的出租車計費器的電路圖!
2016-12-29 16:58:15

基于8086和Proteus仿真的出租車計價器設(shè)計

`有沒有基于8086(匯編語言)和Proteus的出租車計價器的設(shè)計資料啊`
2021-01-03 14:24:57

基于FPGA出租車計價系統(tǒng)的設(shè)計實現(xiàn)的技術(shù)框架是什么

我想知道基于FPGA出租車計價系統(tǒng)實現(xiàn)的技術(shù)框架是什么?
2016-04-26 10:36:46

基于FPGA出租車計費器設(shè)計報告(verilog)

基于FPGA出租車計費器設(shè)計報告(verilog)
2013-10-11 18:49:11

基于FPGA的出租車計費器設(shè)計

基于FPGA的出租車計費器設(shè)計,自己做的,仿真不是很好,但作為參考不錯。。。
2013-06-02 13:55:59

基于Multisim的出租車計價器仿真

題目要求:1)設(shè)計一個簡易出租車計價器,按出租車行駛的里程數(shù)進行計費。2)具有里程顯示(2 位)、計費顯示(3 位,帶 1 位小數(shù))、里程單價顯示(2 位,帶 1 位小數(shù))等功能。3)起步價按 7
2020-01-15 19:10:31

基于Proteus的單片機出租車計價器的設(shè)計

本文以出租車模擬計價器設(shè)計的實例,詳細介紹Proteus的特點、使用方法以及Proteus在單片機系統(tǒng)硬、軟件開發(fā)與仿真的應(yīng)用,它能有效提高工作效率,對于單片機應(yīng)用系統(tǒng)、電子電路的開發(fā)和教學(xué)等都有較大的實用價值。整個設(shè)計過程表明了該軟件在實際系統(tǒng)開發(fā)中的有效性和實用性。
2011-03-03 17:40:07

基于STCC單片機的出租車計價器系統(tǒng)設(shè)計

1、圖。STCC車速檢測與里程計算鍵盤設(shè)置掉電存儲數(shù)碼管顯示蜂鳴提示車速模擬裝置消費清單打印圖根據(jù)上圖思路,我們設(shè)計一個以STCC單片機為控制核心的出租車計價器系統(tǒng)。該系統(tǒng)由鍵盤設(shè)置、車速檢測與里程計算、數(shù)碼管顯示、蜂鳴提...
2021-09-02 07:00:19

基于VHDL的出租車計費系統(tǒng)設(shè)計

基于VHDL語言出租車計費的設(shè)計
2015-04-26 17:52:01

基于multisim設(shè)計的出租車計價器

本帖最后由 qion1994 于 2015-11-29 17:00 編輯 有沒有人設(shè)計過基于multisim的出租車計價器,帶延時計價的,如果有的話能否發(fā)我一份?我這里有一個電路圖,可是仿真的時候,價格那里總是有問題,還有延時那塊也沒有反應(yīng),有沒有大神可以幫我看看。謝謝了~
2015-11-29 16:42:34

基于stc12c560s2(RFID)射頻卡出租車計價系統(tǒng)

基于stc12c560s2設(shè)計的(RFID)射頻卡出租車計價系統(tǒng),具有時間,溫度顯示功能。能夠通過霍爾傳感器測量里程,計算消費金額,以及(RFID)射頻刷卡功能。原理圖如下:
2016-01-09 12:56:54

基于單片機的多功能出租車計價器相關(guān)資料推薦

的脈沖信號在計價器里折算成一定的計價營運里程。目前市場上出租車計價器功能主要有具有數(shù)據(jù)的復(fù)位功能、白天/晚上轉(zhuǎn)換功能、數(shù)據(jù)輸出功能、計時計價功能等等,但能夠進行語音播報數(shù)據(jù)信息的出租車計價器還是比較少見的,針對這一點我們來設(shè)計一款多功能出租車計價器,在原有功能的基礎(chǔ)上增加單價輸出、單價調(diào)整、路程輸出、顯示
2021-11-09 06:16:31

多功能出租車計價器

   本出租車計價器功能包括數(shù)據(jù)的復(fù)位、白天/晚上轉(zhuǎn)換、數(shù)據(jù)輸出、計時計價、單價輸出及調(diào)整、路程輸出、語音播報數(shù)據(jù)信息、實現(xiàn)在系統(tǒng)掉電的時候保存單價和系統(tǒng)時間等
2013-10-24 19:08:44

多功能出租車計價器設(shè)計資料

多功能出租車計價器設(shè)計資料
2012-08-20 11:20:40

多功能出租車計價器設(shè)計資料

多功能出租車計價器設(shè)計資料
2013-04-17 20:44:31

多功能出租車計費

多功能出租車計費
2013-10-31 10:01:09

多功能出租車計費器的相關(guān)資料推薦

單片機出租車計費器一、實驗?zāi)康亩?、實驗?nèi)容三、實驗步驟四、C代碼如下五、實驗結(jié)果六、實驗體會一、實驗?zāi)康奈覈鞘邪l(fā)展日新月異,交通工具也越來越多樣化。我國出租汽車行業(yè)因而迅猛發(fā)展,出租汽車已經(jīng)成為
2021-11-25 06:20:14

如何利用FPGA設(shè)計出租車計價器系統(tǒng)?

設(shè)計電子系統(tǒng),具有設(shè)計周期短、易于修改等明顯特點,特別適合于進行科學(xué)實驗、樣機研制和電子產(chǎn)品的小批量生產(chǎn)。針對FPGA器件,用EDA工具軟件Max+P1usⅡ,設(shè)計一種出租車的計價器,它可以以十進制數(shù)的形式,直觀地顯示出租車行駛的里程和乘客應(yīng)付的費用,具有一定的實際應(yīng)用價值。
2019-08-15 06:31:56

如何實現(xiàn)出租車里程計時系統(tǒng)設(shè)計?

如何實現(xiàn)出租車里程計時系統(tǒng)設(shè)計?
2021-10-27 07:17:30

如何用51單片機設(shè)計一個出租車計價器

最近接到一個項目,是位一款出租車計價器設(shè)計一套軟硬件系統(tǒng)我用51單片機設(shè)計一個方案,效果還不錯,歡迎大家共同留言學(xué)習(xí),討論廢話不多說,先上實物圖AD設(shè)計原理圖設(shè)計資料出租車計價器元件清單元件名稱
2021-12-13 06:08:15

如何用EDA工具軟件Max+***Ⅱ設(shè)計出租車的計價器?

本文針對FPGA器件,用EDA工具軟件Max+***Ⅱ,設(shè)計一種出租車的計價器,它可以以十進制數(shù)的形式,直觀地顯示出租車行駛的里程和乘客應(yīng)付的費用,具有一定的實際應(yīng)用價值。
2021-05-08 08:07:30

如何用HT46RU24單片機實現(xiàn)出租車計價器?

本文詳細的闡述用HT46RU24單片機實現(xiàn)出租車計價器的軟硬件原理。
2021-05-17 06:50:02

如何用Quartur II 軟件設(shè)計出租車計價器

求告訴如何用Quartur II 軟件設(shè)計出租車計價器
2016-05-11 00:29:31

怎么實現(xiàn)基于51單片機的出租車計價器設(shè)計?

怎么實現(xiàn)基于51單片機的出租車計價器設(shè)計?
2021-10-11 06:29:27

怎樣去設(shè)計一種51單片機的出租車智能計價系統(tǒng)

出租車計價器設(shè)計是由哪些模塊組成的?怎樣去設(shè)計一種51單片機的出租車智能計價系統(tǒng)?
2022-02-28 08:43:06

怎樣去設(shè)計一種基于單片機的出租車計價器

本設(shè)計是基于單片機的出租車計價器,主要實現(xiàn)以下功能:時間顯示,且可設(shè)置時間收費標(biāo)準(zhǔn)顯示功能以及乘車速度、公里數(shù)等信息顯示功能語音提示乘客“歡迎光臨”、“歡迎下次乘坐”以及播報本次乘車信息功能可存十次
2021-11-26 08:29:02

求一個單片機設(shè)計的出租車計價器設(shè)計的完整電路圖

求一個單片機設(shè)計的出租車計價器設(shè)計的完整電路圖,雖然才3積分,但這已經(jīng)是我全部身家,拜托
2015-09-20 19:57:25

求一個基于FPGA出租車計價系統(tǒng)設(shè)計的用Verilog HDL語言編寫的代碼

最好能能預(yù)置出租車起步價,超過起步價每公里收費及暫停等待停止不同狀態(tài)下里程時間加費功能。謝謝啦
2016-03-20 12:30:08

求一個基于proteus的出租車計費系統(tǒng)的仿真電路及源代碼。

求一個基于proteus的出租車計費系統(tǒng)的仿真電路及源代碼。(本科畢業(yè)設(shè)計求參考),謝謝各位大神。功能完善一點的最好啦!
2017-04-12 21:59:19

求一個數(shù)電的出租車計費器的multisim源文件

求一個數(shù)電的出租車計費器的multisim源文件,謝謝大家,我急著用!在線等,拜托大神!
2015-06-13 21:28:02

求助:基于AVR的出租車計費系統(tǒng) 的電路圖

可通過按鍵模擬運行、等待、停止三種狀態(tài);顯示運行時間和運行時等待時間,最后通過簡單計算得出總費用和總路程。二、主要內(nèi)容與基本要求: 本文介紹基于AVR的出租車計價器系統(tǒng)的功能、設(shè)計思想和實現(xiàn)。完成
2012-02-23 17:02:51

求助:基于單片機的出租車計價器的設(shè)計材料(完整的更...

求助:急需基于單片機的出租車計價器的設(shè)計材料(完整的更好)
2013-04-10 10:05:10

求數(shù)字電路出租車計價器multisim文件,電路圖也行

求數(shù)字電路出租車計價器multisim文件,電路圖也行
2014-12-29 17:12:20

電子設(shè)計大賽-智能出租車

本帖最后由 eehome 于 2013-1-5 09:49 編輯 電子設(shè)計大賽-智能出租車
2012-08-16 15:46:18

請幫我看看出租車計價器畢業(yè)設(shè)計

請幫我看看出租車計價器畢業(yè)設(shè)計,QQ:2451489850
2013-05-10 21:31:13

請教大神如何去設(shè)計出租車計費器系統(tǒng)

本文介紹一種以Altera公司可編程邏輯器件EP1K30TC144-3為控制核心,附加一定外圍電路組成的出租車計費器系統(tǒng)。
2021-04-30 06:06:05

桑塔納3000型轎車出租車電路圖

stn3k出租車電路圖,桑塔納3000型轎車出租車電路圖
2008-08-04 00:19:08203

多語言綜合信息服務(wù)系統(tǒng)研究與設(shè)計

基于多語言的綜合信息服務(wù)正成為信息服務(wù)領(lǐng)域的一個重要的發(fā)展方向。該文提出面向2010年上海世博會和城市信息服務(wù)的多語言綜合信息服務(wù)應(yīng)用的總體架構(gòu),該系統(tǒng)基于下一代網(wǎng)
2009-04-01 09:41:1524

出租車計價器VHDL程序與仿真

出租車計價器VHDL程序與仿真 --文件名:taxi.hd。--功能:出租車計價器。--最后修
2008-06-27 10:49:292231

出租車計價器VHDL程序

程序設(shè)計與仿真。1. 出租車計價器VHDL程序--文件名:taxi.hd --功能:出租車計價器 --最后修改日期:2004.4.9
2008-06-27 10:51:311798

出租車計價器設(shè)計與制作

出租車計價器設(shè)計與制作     設(shè)計并制作一臺出租車計價器。調(diào)試時采用10Hz方波信號模擬,每個方波代表10m?;疽螅?/div>
2009-05-31 15:41:176847

用VHDL語言開發(fā)的出租車計費系統(tǒng)設(shè)計

本文設(shè)計了一種基于FPGA的出租車計費系統(tǒng),可模擬汽車行駛、暫停等待,停止等過程,并可同時顯示金額、乘車總路程。
2011-07-20 14:56:302629

基于FPGA的出租車計費系統(tǒng)的設(shè)計與實現(xiàn)

提出了在QuartusII 9.0 軟件平臺上,基于FPGA的出租車計費器的設(shè)計方案。通過VHDL描述出租車計費系統(tǒng)的操作流程及控制方案,實現(xiàn)模擬汽車的啟動、停止、計費、暫停、預(yù)置等功能,并用
2011-12-28 10:35:03119

城市出租車的投放控制模型研究

本論文根據(jù)城市出租車運營的特點,在出租車監(jiān)控平臺數(shù)據(jù)的基礎(chǔ)上,研究出租車投放數(shù)量調(diào)控的措施。出租車空駛率這一重要參數(shù),可以根據(jù)采集的出租車運營信息計算得出,以此來
2013-06-09 16:18:090

基于單片機的出租車計費器

基于單片機的出租車計費器,用C來編程的。。
2016-01-14 17:10:2016

出租車計費系統(tǒng)設(shè)計

為整個系統(tǒng)的復(fù)位按鈕,每復(fù)位一次,計費器從頭開始計費。直流電機用來模擬出租車的車輪子,沒轉(zhuǎn)動一圈認為是行走 1 米,所以每旋轉(zhuǎn) 3000 圈,認為車子前進 3 公里。系統(tǒng)設(shè)計是需要檢測電機的轉(zhuǎn)動情況,每轉(zhuǎn)
2016-03-18 14:06:0148

出租車計價器設(shè)計資料

出租車計價器設(shè)計資料,很好設(shè)計資料,快來學(xué)習(xí)吧。
2016-05-09 17:10:010

出租車計價器資料

出租車計價器資料,很好設(shè)計資料,快來學(xué)習(xí)吧。
2016-05-09 17:10:010

多功能出租車計價器設(shè)計

基于單片機設(shè)計的出租車價格設(shè)計,附有原理圖和程序
2016-09-27 15:53:230

出租車計時器

出租車計時計費器系統(tǒng)仿真設(shè)計和報告
2016-12-15 22:44:303

畢業(yè)設(shè)計出租車計價器

畢業(yè)設(shè)計出租車計價器
2016-12-17 21:49:1941

基于FuzzySet和RFID技術(shù)的城市出租車應(yīng)急調(diào)度系統(tǒng)_周勝

基于FuzzySet和RFID技術(shù)的城市出租車應(yīng)急調(diào)度系統(tǒng)_周勝根
2017-03-19 11:38:262

一種新型的出租車調(diào)度系統(tǒng)

本文設(shè)計和實現(xiàn)了一種新型的出租車調(diào)度系統(tǒng),該系統(tǒng)通過改進當(dāng)前調(diào)度系統(tǒng)的叫車方式,并提出基于網(wǎng)格的出租車調(diào)度算法,該算法結(jié)合通信平臺上傳的出租車GPS位置信息和GIS系統(tǒng)網(wǎng)格信息把出租車分組,實現(xiàn)小組內(nèi)及小組間出租車高效協(xié)作和調(diào)度,很大程度上提高了出租車的調(diào)度效率。 終端硬件結(jié)構(gòu)圖
2017-09-06 10:23:520

基于PLD的出租車計價器系統(tǒng)設(shè)計

系統(tǒng)利用VHDL語言、PLD設(shè)計出租車計費系統(tǒng),以MAX+PLUSⅡ軟件作為開發(fā)平臺,設(shè)計了出租車計費器系統(tǒng)程序并進行了程序仿真。使其實現(xiàn)計費以及預(yù)置和模擬汽車啟動、停止、暫停等功能,并動態(tài)掃描顯示車費數(shù)目。
2017-09-21 11:12:459

基于GPS的新型出租車調(diào)度系統(tǒng)設(shè)計解析

引言 在大多數(shù)國家,出租車都是一種十分普遍和便捷的交通工具。多數(shù)發(fā)達國家建設(shè)了完善的出租車調(diào)度系統(tǒng),實現(xiàn)了出租車高效運營,如美國,電話預(yù)約和站點候客的出租車運營方式占98%,路拋式僅占2%;在倫敦
2017-11-30 14:13:520

基于GPS的新型出租車調(diào)度系統(tǒng)設(shè)計方案

在大多數(shù)國家,出租車都是一種十分普遍和便捷的交通工具。多數(shù)發(fā)達國家建設(shè)了完善的出租車調(diào)度系統(tǒng),實現(xiàn)了出租車高效運營,如美國,電話預(yù)約和站點候客的出租車運營方式占98%,路拋式僅占2%;在倫敦、巴黎
2017-12-01 11:51:240

出租車RFID電子標(biāo)簽防偽系統(tǒng)解決方案

成都凌泰科技有限公司,通過多年RFID的領(lǐng)域雄厚的開發(fā)能力以及實際系統(tǒng)經(jīng)驗,在我公司自主研發(fā)的手持PDA系統(tǒng)的基礎(chǔ)上,通過目前最先進的RFID電子標(biāo)簽技術(shù),構(gòu)建出租車電子運營證系統(tǒng),使雙胞胎車,套牌車等黑出租車無處藏身。同時也對出租
2017-12-08 11:37:080

一種基于EDA技術(shù)的出租車計費系統(tǒng)設(shè)計與實現(xiàn)

本文主要介紹了一種基于EDA技術(shù)的出租車計費系統(tǒng)設(shè)計與實現(xiàn),采用EDA技術(shù)的層次化設(shè)計方法設(shè)計出租車計費器,用VHDL編寫各個功能模塊,實現(xiàn)底層設(shè)計;用原理圖輸入方式描述各模塊間的關(guān)系,實現(xiàn)頂層設(shè)計。
2017-12-25 09:20:544415

出租車載客點推薦算法

針對現(xiàn)有出租車載客點推薦算法忽略出租車所處上下文的情況,提出了一種基于時空上下文協(xié)同過濾的出租車載客點推薦算法。該算法將載客點信息映射到空間網(wǎng)格,通過在出租車司機駕駛行為相似度的計算中引入時間衰減
2018-01-09 19:13:440

盤點出租車行業(yè)電動化的機遇和挑戰(zhàn)

從純電動車的生產(chǎn)力屬性完整分析了出租車行業(yè)電動化的機遇和挑戰(zhàn),比較分析了如何通過快充和快換來解決出租車的續(xù)航問題,并就此針對城市出租車如何推廣電動車給出了建議方案。
2018-10-04 11:49:007106

Uber推出空中出租車的參考設(shè)計

Uber表示,飛行出租車在短時間內(nèi)用于短途旅行
2019-06-14 16:22:412786

車聯(lián)網(wǎng)之物聯(lián)卡應(yīng)用-出租車專題

前兩期我們說了電摩車和私家車在車聯(lián)網(wǎng)方面的一些具體應(yīng)用,這次主要是來說一說出租車。其實從需求的角度來講,出租車的對于車聯(lián)網(wǎng)這樣的一些應(yīng)用的需求應(yīng)該是更加剛性一些,畢竟車聯(lián)網(wǎng)的搭建能夠非常方便的來提升
2020-05-06 09:42:13781

出租車計價器的C語言程序免費下載

本文檔的做作業(yè)內(nèi)容詳細介紹的是出租車計價器的C語言程序免費下載。
2020-06-08 08:00:0022

阿里宣布完成全球首個多語言實時翻譯的電商直播

今日,阿里宣布完成全球首個多語言實時翻譯的電商直播,該直播實現(xiàn)了將中文直播內(nèi)容精準(zhǔn)翻譯成英語、俄語、西班牙語等語言
2020-10-27 11:39:131748

多語言翻譯新范式的工作:機器翻譯界的BERT

今天給大家介紹EMNLP2020的一篇關(guān)于多語言翻譯新范式的工作multilingual Random Aligned Substitution Pre-training (mRASP)[1],核心
2021-03-31 17:24:042598

利用Verilog_HDL語言設(shè)計出租車計費器

利用Verilog_HDL語言設(shè)計出租車計費器案例。
2021-04-09 16:22:1661

EDA程序設(shè)計之出租車計費器

1.1 設(shè)計要求 1.1.1 設(shè)計任務(wù) 設(shè)計并制作一臺出租車計費器。1.1.2 性能指標(biāo)要求① 用EDA實訓(xùn)儀的I/O設(shè)備和FPGA實現(xiàn)出租車計費器的設(shè)計。② 出租車起步開始計程和計費,計程系統(tǒng)
2023-08-22 10:19:412

基于STC89C52設(shè)計的出租車計價器系統(tǒng)

任務(wù)要求:運用所學(xué)的知識和專業(yè)技能,以STC89C52系列單片機為核心設(shè)計一個簡易的出租車計價器系統(tǒng),實現(xiàn)出租車計價器的穩(wěn)定運行。用霍爾傳感器測速測量出租車的實時速度,用延時實現(xiàn)調(diào)速功能
2023-09-07 09:39:574

已全部加載完成