電子發(fā)燒友App

硬聲App

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內(nèi)不再提示

電子發(fā)燒友網(wǎng)>音視頻及家電>GE Appliances推出首個智能配比的洗衣機 解決了用戶用量控制難題

GE Appliances推出首個智能配比的洗衣機 解決了用戶用量控制難題

收藏

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴

評論

查看更多

相關推薦

51單片的全自動洗衣機控制系統(tǒng)代碼分享

51單片的全自動洗衣機控制系統(tǒng)代碼
2023-09-26 07:33:47

洗衣機 PWM

求助各位大神,怎么用PWM控制洗衣機的電機轉(zhuǎn)速,求編程思路
2017-05-08 15:42:49

洗衣機3C認證檢測中常見問題分析和改進

控制系統(tǒng)等組成,有的還裝有加熱裝置。目前市場上的洗衣機基本分類有:波輪式、滾筒式、攪拌式。目前,對洗衣機的3C認證要求是必須通過:騷擾電壓、騷擾功率、喀嚦聲(斷續(xù)騷擾)、諧波電流的實驗,本文針對雙桶洗衣機和全自動洗衣機在測試過程中常見的不合格項目進行分析并提出改進方案。
2018-04-18 15:40:26

洗衣機為什么能自動運行呢

知識點:全自動洗衣機、程序、計算機如今,洗衣機已經(jīng)進入千家萬戶,人們再也不用面對一大堆臟衣服發(fā)愁,只要把臟衣服、洗衣粉放到洗衣機里,接通電源,輕輕地在洗衣機面板上按幾下就可以,其他的不用再操心
2021-09-02 06:52:01

洗衣機無感算法疑問?

請問大佬們,洗衣機行業(yè)里電機無感帶載啟動及不間斷正反轉(zhuǎn)一般是什么算法,強拖到foc閉環(huán)嗎?
2022-11-10 20:06:07

洗衣機機械式水位開關是如何工作的呢

洗衣機水位傳感器的原理是什么?機械式水位開關是如何工作的呢?
2022-01-17 09:04:54

洗衣機水位開關控制原理分析

洗衣機水位開關控制原理分析2012年05月04日 14:06 來源:電子發(fā)燒友網(wǎng) 作者:秩名 我要評論(1)   全自動洗衣機水位控制開關是一款利用機械氣壓原理控制觸點通斷的氣壓開關。洗衣機洗衣筒里
2012-09-14 15:01:04

洗衣機的工作原理

{:soso_e113:}洗衣機的電子原理圖
2012-03-18 22:25:42

洗衣機的甩干桶問題

    我家的洗衣機甩干桶轉(zhuǎn)地很慢,請問是怎么回事
2009-03-22 22:02:37

洗衣機老化實驗炸

洗衣機做老化實驗時,突然炸,根據(jù)現(xiàn)象發(fā)現(xiàn)是瞬間大電流的原因,原理圖已經(jīng)附上,現(xiàn)在想模擬炸情況然后分析原因,電機是串激電機,有四個接線口,分別控制正傳,反轉(zhuǎn),和高速低速,通過可控硅控制軟啟動。請大神們分析一下可能是什么原因?
2016-04-22 17:06:51

FPGA設計洗衣機

本帖最后由 eehome 于 2013-1-5 09:59 編輯 設計一個全自動洗衣機控制器,它具有三閥門分別是冷水、 設計一個全自動洗衣機控制器,它具有三閥門分別是冷水、 設計一個全自動
2012-05-04 20:35:07

STM32MP1如何讓洗衣機操作界面更炫酷?

景基于STM32MP1打造HMI2.0人交互界面,讓傳統(tǒng)洗衣機更加智能炫酷。米爾提供的洗衣機HMI界面是STM32MP1開發(fā)應用的一個參考,此外還有更多各類智能家用電器的應用場景,相對于傳統(tǒng)家電的人機交互設計更加炫
2020-07-21 15:49:11

[原創(chuàng)] 洗衣機的波輪不轉(zhuǎn)的原因和維修

  由于有很多不法商家只重視利潤,不重視質(zhì)量,造成洗衣機的質(zhì)量參差不齊,雖然大問題可能沒有,但小問題不斷,如果拿過洗衣機到家電維修點修理的人就發(fā)現(xiàn),現(xiàn)在的家電
2010-03-24 16:15:46

[求助]編寫全自動洗衣機控制電路的VHDL語言程序

馬上本科畢業(yè),專業(yè)方向是信息化工程管理,但是論文題目抽到的是 編寫全自動洗衣機控制電路的VHDL語言程序,沒有學習過,在圖書館借書看了后感覺很復雜,由于要實習很難有時間研究.希望論壇有 高人 能給
2010-03-01 12:45:08

labview 自動洗衣機【付費】

l系統(tǒng)控制要求:①可以設置工作方式(洗滌或甩干)②可以人工或自動設置洗滌水位③自動控制洗衣機進水;④可以人工或自動設置洗滌次數(shù);⑤自動排水;⑥自動甩干;⑦具有暫停/繼續(xù)洗滌功能;⑧具有報警功能(洗滌
2015-04-09 19:41:11

【ForBetterLife團隊】智能洗衣機讓生活更美好

手機對洗衣的各個參數(shù)進行設置,CC3200通過加速度計、溫度傳感器、CAP接口等讀取洗衣機洗衣狀態(tài)、運行狀態(tài)、溫度信息、洗衣機轉(zhuǎn)速等信息,實現(xiàn)對智能洗衣機控制與信息的反饋顯示。主要芯片 CC3200
2014-12-30 15:33:07

【MYS-6ULX-IOT試用申請】公用洗衣機無線控制

項目名稱:公用洗衣機無線控制試用計劃:計劃設計驗證洗衣機的物聯(lián)網(wǎng)控制設備,實現(xiàn)公用洗衣機的移動端預訂、付費、排隊。洗衣機通過WIFI聯(lián)網(wǎng)(后續(xù)支持4G通信模塊),采用MQTT協(xié)議與服務器通訊,執(zhí)行
2017-06-02 15:49:41

【畢業(yè)設計秀】基于單片洗衣機控制電路的設計

摘 要本文以AT89C51單片為核心,設計全自動洗衣機控制系統(tǒng)。本系統(tǒng)實現(xiàn)洗衣機整個洗衣過程的控制,包括用戶參數(shù)輸入、洗衣和脫水三個階段。控制系統(tǒng)主要由電源電路、數(shù)字控制電路和機械控制電路三
2012-05-28 22:17:41

什么是洗衣機中的霍爾傳感器?

霍爾傳感器,也稱為轉(zhuǎn)子位置傳感器(RPS),是洗衣機自動化系統(tǒng)的一部分。它有助于控制板確保一切正常運行?;旧希魻杺鞲衅鲿芮嘘P注洗衣機的電機,以確保它在洗滌過程中正確且正確地工作?;魻杺鞲衅魑挥?/div>
2019-01-07 14:45:58

全自動洗衣機

單片全自動洗衣機
2015-04-12 13:11:52

全自動洗衣機原理與維修資料

給大家分享下全自動洗衣機原理與維修資料。
2015-03-17 20:34:58

分析洗衣機控制器的設計過程

準備以一個洗衣機控制器作為例子,來分析洗衣機控制器的設計過程,有考慮不周的地方請各位指出。
2021-09-08 07:21:38

半自動洗衣機控制器的仿真,很實用的喲!

自動洗衣機控制器的仿真,里面有PROTEUS仿真電路和C語言的源程序
2015-05-27 06:35:38

單片c語言程序開發(fā)洗衣機,基于51單片洗衣機控制器的設計(附程序) 精選資料分享

中應用也十分廣泛。但是傳統(tǒng)的基于繼電器的控制,已經(jīng)不能滿足人們對洗衣機的自動化程度的要求。洗衣機需要更好地滿足人們的需求,必須借助于自動化技術的發(fā)展。而隨著單片技術的發(fā)展,用單片來作為控制器,就能...
2021-09-02 06:23:47

單片解密破解先進投幣式自動洗衣機密碼

。為了幫助企業(yè)降低生產(chǎn)成本,單片解密公司對程控式投幣洗衣機進行反向?qū)W習研究,獲取其控制系統(tǒng)芯片內(nèi)程序,并反推出設計原理圖。此外,單片解密公司還可以根據(jù)獲取的程控式投幣洗衣機的芯片資料,完成克隆復制
2013-09-10 15:42:23

基于51單片洗衣機控制系統(tǒng)

二 、課題內(nèi)容基于全自動洗衣機控制要求,鑒于實際的設計成本和操作演示的方便,本系統(tǒng)的設計從功能設計要求、硬件電路的設計論證、軟件編譯和調(diào)試三個方面描述一個以AT89S52單片為核心的全自動
2021-07-03 10:18:53

基于FPGA的用于洗衣機控制系統(tǒng)設計

摘要:為提高家用雙缸洗衣機控制系統(tǒng)的性能,改善定時精確度和洗滌效果,基于可編程性強的FPGA設計一種用于洗衣機控制系統(tǒng),并進行了時序仿真。通過實驗時設計方案進行了完善,得到了可進行數(shù)字化控制
2019-06-18 06:37:08

基于STC89C52的智能全自動洗衣機控制系統(tǒng)設計

原標題:【論文精選】基于STC89C52的智能全自動洗衣機控制系統(tǒng)設計張哲,李智,管四海(西安電子科技大學 機電工程學院,陜西 西安 710071)摘要:為了降低全自動洗衣機的運行功耗、提高運行
2021-09-10 07:05:17

基于TS濁度傳感器的洗衣機應用

1 引言  如今,全自動洗衣機已走進千家萬戶,其功能也越來越多,花樣不斷翻新。判斷洗衣機性能的參數(shù)已經(jīng)不再是最基本的洗凈比、能耗以及耗水量等指標。通常是用戶根據(jù)經(jīng)驗設置洗衣機的洗滌時間和漂洗次數(shù)
2019-07-22 06:22:42

基于VHDL的洗衣機控制器該怎么設計?

為降低設計成本,縮短設計周期,提出一種基于VHDL的洗衣機控制器的設計方案。
2019-09-30 07:43:39

基于單片的全自動洗衣機控制系統(tǒng)設計

基于單片的全自動洗衣機控制系統(tǒng)設計
2018-05-01 14:23:52

基于單片機智能洗衣機控制系統(tǒng)設計

【資源下載】下載地址:點擊下載(640)百度網(wǎng)盤功能描述:通過按鍵設定洗衣機的工作時間并用數(shù)碼管顯示工作時間,然后控制2個繼電器循環(huán)工作狀態(tài),模擬洗衣機間歇正反轉(zhuǎn),一般正轉(zhuǎn)3s,停止2s,然后反轉(zhuǎn)3s,停止2s,循環(huán)上述動作。...
2021-11-19 09:04:17

大神力736洗衣機

一臺大神力736洗衣機洗衣時關不住水,不管是“標準”還是“輕揉”都從下水管中放水出來,網(wǎng)上沒找到圖紙,不知結構,特別請教網(wǎng)友、大號們指點、支持,先謝謝了!
2011-04-19 07:58:40

如何去實現(xiàn)一種基于51全自動洗衣機控制系統(tǒng)設計

自動洗衣機系統(tǒng)設計-仿真本設計由STC89C52單片機電路+PCF8591電路(模擬稱重傳感器和渾濁度檢測傳感器)+繼電器控制電路+液位傳感器電路+電源電路組成。1、5個繼電器默認閉合2、稱重
2021-12-08 06:59:45

如何去實現(xiàn)一種基于單片全自動洗衣機仿真設計

完成,洗衣完成時由蜂鳴器發(fā)出響聲。洗衣機的標準洗衣程序是:洗滌——脫水——脫水——漂洗——脫水——漂洗——脫水。經(jīng)濟洗衣程序少一次漂洗和脫水過程。洗衣機控制器由單片作為控制器的核心所構成,該控制器具有以下特點:(1)具有較強的抗干擾能力,當受到外部強干擾,程序出錯時,可以自動使系統(tǒng)復位重新執(zhí)行程
2022-02-23 07:19:41

如何去實現(xiàn)一種基于單片的全自動洗衣機模擬系統(tǒng)設計

基于單片的全自動洗衣機模擬系統(tǒng)實現(xiàn)的功能是什么?如何去實現(xiàn)一種基于單片的全自動洗衣機模擬系統(tǒng)設計?
2022-01-17 08:13:16

如何實現(xiàn)簡易洗衣機系統(tǒng)Multisim仿真設計?

如何實現(xiàn)簡易洗衣機系統(tǒng)Multisim仿真設計?
2022-02-15 06:16:21

如何用控制器通用板改裝滾筒洗衣機

  為了操作方便、經(jīng)濟和簡單,選用洗衣機控制器通用板,一般洗衣機通用板接線圖見上圖。為適用于滾筒洗衣機,將其電路改裝為下圖所示。   改裝要點:1.將原洗衣機電源開關、門鎖串接如下圖。2.將蓋開關短
2021-05-14 07:16:06

如何部署FOC來設計高效、安靜的洗衣機

電器制造商研究相應的解決方案,解決效率和可聞噪聲方面的問題,同時讓增加的整體系統(tǒng)成本保持最低。例如,電器制造商希望設計出可以快速響應速度變化(包括洗滌和甩干兩個過程)的洗衣機。一些高級電機控制技術,如磁場定向控制(FOC),也稱為矢量控制,有助于設計出更加安靜節(jié)能的洗衣機。
2020-05-04 06:08:46

微波雷達感應模塊,智能感應技術方案,智能洗衣機發(fā)展應用

的政策,洗衣機企業(yè)將目光均投向擁有較大消費潛力的農(nóng)村市場。未來幾年,我國洗衣機市場需求增長空間將主要來自于:以城鎮(zhèn)化和農(nóng)村市場為主的首次需求,以及以城鎮(zhèn)市場消費升級為主的更新需求。從數(shù)據(jù)來看,2016年
2021-10-12 16:08:21

怎么實現(xiàn)簡易洗衣機控制的設計?

怎么實現(xiàn)簡易洗衣機控制的設計?
2021-10-20 06:35:39

怎樣去設計一種基于51單片洗衣機控制系統(tǒng)

《電子DIY》之《單片實踐項目》之基于51單片洗衣機控制系統(tǒng)設計(電機調(diào)速原理)
2021-09-06 06:46:55

怎樣去設計一種基于51單片洗衣機控制系統(tǒng)

控制要求,從功能要求、硬件設計、軟件設計三個方面描述一個以AT89C51單片控制核心的洗衣機控制系統(tǒng)。給出了硬件線路框圖和相關的控制程序流程圖。關鍵詞: AT89C51單片;洗衣機控制系統(tǒng);硬件;控制程序Abstract: According to th
2021-07-15 09:03:07

怎樣去設計一種基于51單片的全自動洗衣機

設計總方框圖(末尾附文件)原理圖:控制系統(tǒng)的功能基于單片洗衣機通過控制系統(tǒng)設定洗衣程序在洗滌脫水桶內(nèi)自動完成注水、洗滌、漂洗、排水和脫水全過程。洗衣控制系統(tǒng)打開進水電磁閥開始注水;當洗滌脫水桶內(nèi)
2021-11-17 07:59:07

有沒有人會基于單片的全自動智能洗衣機?急,求大神幫忙

基于單片的全自動洗衣機控制系統(tǒng)設計
2016-03-16 14:09:51

求一種基于單片的簡易洗衣機系統(tǒng)仿真設計方案

求一種基于單片的簡易洗衣機系統(tǒng)仿真設計方案
2022-01-17 08:09:52

求飛歌洗衣機圖紙

我有一部進口的飛歌洗衣機,因圖紙丟失而且洗衣機用的全是英文,現(xiàn)在洗衣機轉(zhuǎn)速慢,希望有哪一位專家有這個圖紙,上傳給我,謝謝
2008-10-02 11:37:14

瑞薩解決方案之洗衣機方案下載

滿足這些需求而進行的產(chǎn)品開發(fā)。提供電機控制用MCU、系統(tǒng)控制用MCU R8C族,能滿足廣泛的電機轉(zhuǎn)矩、旋轉(zhuǎn)數(shù)控制以及負載變動等洗衣機所必備的控制要求。
2015-01-12 17:05:52

電機在洗衣機行業(yè)的發(fā)展

,環(huán)保、智能洗衣機的需求量也越來越大,電機作為洗衣機的主動力,其性能必須不斷完善。洗衣機產(chǎn)業(yè)研發(fā)需求主要包括節(jié)電、節(jié)水、綠色環(huán)保、智能家電、健康洗滌、新型洗衣領域六大方面。在節(jié)電方面,洗衣機產(chǎn)業(yè)需要重點
2015-12-28 17:51:56

直流變頻洗衣機驅(qū)動方案

  變頻洗衣機是未來洗衣機的發(fā)展趨勢,我們提出了一種變頻洗衣機方案,用市場成熟的高性能Cortex-M3內(nèi)核的TMPM37x系列MCU,以及智能功率模塊(SCM1561)組成變頻洗衣機驅(qū)動,產(chǎn)品設計
2019-10-16 14:52:19

節(jié)水洗衣機電腦控制器報告

電腦控制器。它通過對電腦控制器程序的精心設計,配合整機結構的調(diào)整,在不<br/>影響整機其它性能的前提下,達到了節(jié)水40%的優(yōu)良效果,為全自動洗衣機的節(jié)能課題提供有價值
2009-10-19 18:03:30

西門子滾筒洗衣機

西門子滾筒洗衣機優(yōu)點很多,比如它使用三維動感傳感器,三維動感傳感器同步監(jiān)測洗衣機高速脫水時滾筒的運行狀況,并瞬間反饋至智能控制系統(tǒng)。一旦滾筒異常,便會立即調(diào)整轉(zhuǎn)速以均勻衣物分布狀態(tài),確保穩(wěn)定、低噪
2017-06-29 12:07:24

請問洗衣機定時器的接線原理是什么?

洗衣機定時器接線原理圖
2019-11-04 06:58:58

請問誰有LabVIEW 2010 的洗衣機控制系統(tǒng)

請問誰有LabVIEW 2010 的洗衣機控制系統(tǒng)???有誰可以幫幫忙{:2:}
2013-06-10 21:56:45

請問誰有LabVIEW的洗衣機控制系統(tǒng)

請問誰有LabVIEW的洗衣機控制系統(tǒng)???誰能幫幫忙???{:2:}
2013-06-10 21:58:30

跪求 全自動洗衣機系統(tǒng) proteus仿真?。?!

本人做畢業(yè)設計 全自動洗衣機系統(tǒng)研究與設計跪請各位幫忙?。?!
2013-05-01 18:50:27

跪求畢業(yè)設計《基于單片洗衣機控制系統(tǒng)的設計》

本帖最后由 w17481 于 2012-11-28 16:51 編輯 RT《基于單片洗衣機控制系統(tǒng)的設計》
2012-05-15 21:58:51

跪求畢業(yè)設計:全自動洗衣機系統(tǒng)設計?。?!

急需!??!全自動洗衣機系統(tǒng)設計與研究
2013-04-29 23:38:48

轉(zhuǎn): 全自動洗衣機控制系統(tǒng)

全自動洗衣機控制系統(tǒng)
2016-08-03 16:55:05

選購洗衣機時的注意事項

選購洗衣機時的注意事項    1、根據(jù)家庭需求情況和市場供應狀況首先選擇洗衣機的種類、規(guī)格、型號和牌號。  &nbsp
2009-12-22 14:17:39

選購洗衣機的方法

說明書上,因此消費者應能夠及時得到這一信息。一般來說,噪聲越低、無故障運行時間越長,洗衣機的質(zhì)量就越好。   清洗程序的選擇:   在洗衣機的程序控制上,以往的洗衣機只有兩三個程序,也有一按通的傻瓜
2009-12-22 14:52:00

霍爾傳感器在洗衣機滾筒位置檢測中的應用

的霍爾傳感器。2.霍爾傳感器測量磁場的方向及強度,從而確定磁體在三個維度中同時進行的相對運動3.再將該信息傳輸?shù)窖b在洗衣機上的微控制器,該微控制器再用此程序確定控制滾筒運動的方法。目前,在洗衣機滾筒
2020-02-28 11:49:55

霍爾元件傳感器實現(xiàn)全自動洗衣機水位控制

相鄰近處安裝著小磁體。其重量檢測結果與電機的一致性和傳動皮帶的老化程度無關,精度高,可廣泛應用于各種波輪式全自動洗衣機中,從而可實現(xiàn)洗衣機衣桶水位的自動控制。具體過程如下:(1)洗衣桶無水時當洗衣桶內(nèi)
2019-08-01 16:10:22

霍爾元件在洗衣機上的應用

,新型的霍爾傳感器增可以提供各種器械設備的非接觸式實施,提高了可靠性和耐用性,從根本上消除了機械磨損和疲勞。將霍爾傳感器(有些會用到線性霍爾傳感器)用于自動洗衣機中,可實現(xiàn)洗衣機衣桶水位的自動控制。具體
2020-04-24 16:47:51

霍爾元件在洗衣機上的應用

,新型的霍爾傳感器增可以提供各種器械設備的非接觸式實施,提高了可靠性和耐用性,從根本上消除了機械磨損和疲勞。將霍爾傳感器(有些會用到線性霍爾傳感器)用于自動洗衣機中,可實現(xiàn)洗衣機衣桶水位的自動控制。具體
2016-07-15 14:45:45

愛德XQB45-4DA洗衣機微電腦智能全自動洗衣機程序控制

愛德XQB45-4DA洗衣機微電腦智能全自動洗衣機程序控制器電原理圖
2007-09-30 21:59:49140

網(wǎng)絡洗衣機控制器設計

網(wǎng)絡洗衣機控制器設計:波輪式全自動洗衣機在市場中占有很大比例,其中絕大部分產(chǎn)品是用單機來控制的,本系統(tǒng)采用AT89C51芯片來實現(xiàn)對洗衣機控制。除了能實現(xiàn)普通的洗衣
2009-09-11 11:08:01105

基于單片機的嵌入式智能洗衣機系統(tǒng)設計

摘要:本文主要介紹了用51單片機設計控制洗衣機系統(tǒng)方案、原理和功能實現(xiàn)。這樣一臺洗衣機,允許用戶可自行設置洗衣模式,可以選擇泡衣(步進電機)、洗衣(直流電機)、
2010-08-16 09:17:57258

洗衣機洗滌程序控制

洗衣機洗滌程序控制器 設計一個洗衣機洗滌程序控制器,控制洗衣機的電機作如下規(guī)律運轉(zhuǎn):
2010-04-29 16:06:201332

洗衣機水位開關控制原理分析

洗衣機水位控制開關一般分為三擋。由低、中、高三個不同擋位根據(jù)洗滌物的數(shù)量來控制洗衣機在洗滌過程中水位水量限制,達到合理洗滌的目的。
2012-05-04 14:06:3321462

洗衣機控制系統(tǒng)設計

控制系統(tǒng) 目前中國洗衣機市場正進入更新?lián)Q代的時期,市場潛力巨大,人們對于洗衣機的要求也是越來越高。目前的洗衣機主要有強弱洗滌功能、進排水系統(tǒng)故障自動診斷功能、暫停等七大功能
2016-04-28 18:02:317

洗衣機控制

壓縮包里面放的是洗衣機控制器的hex文件,寫程序的時候直接包含就可以使用了
2016-05-13 14:41:313

自制洗衣機程序

單片機控制洗衣機程序
2016-12-17 16:18:3746

康佳推出玉璽系列洗衣機,以智能化全面呵護滿足用戶的需求

所謂玉璽,就是玉做的印章,是專指皇帝的玉印,至高權力的象征,而康佳將洗衣機定義為玉璽,是最高端系列洗衣機的象征,是最具有完美洗護體驗的洗衣機的代表,更是希望向用戶傳遞專屬定制的用心。
2018-08-28 11:45:131173

控制器通用板改裝滾筒洗衣機

一臺小鴨圣吉奧XQG50-NM156N型滾筒洗衣機,由于機械式程序控制器壞,用洗衣機通用板將其改修成只有洗滌、排水、脫水等基本功能的洗衣機。
2019-02-14 17:41:027418

云米推8kg版波輪洗衣機 很好的解決了冬季的洗衣難題

盡管不少人還保持著手洗衣物的習慣,但是到了冬天冷水刺骨,大家還是不愿意親手清洗。因此,一款好洗衣機就顯得格外重要。之所以選擇手洗,絕大多數(shù)都是因為普通洗衣機很容易把衣物洗壞洗變形,而云米近期推出的云米波輪洗衣機8kg版就能很好的解決冬季的洗衣難題。
2019-01-27 11:25:493067

臟桶問題延續(xù)百年,海爾“無塵”洗衣機破局升級洗護體驗

洗衣機的出現(xiàn),顛覆了洗衣機行業(yè)延續(xù)了百余年的雙桶結構設計,徹底解決臟桶的難題,實現(xiàn)“零清洗”。
2019-03-27 14:49:423054

海爾推出3款洗衣機 顛覆了用戶對傳統(tǒng)洗衣機的認知

洗衣機是生活中最熟悉、使用最頻繁的家用電器,但海爾推出的3款洗衣機,卻顛覆了用戶對傳統(tǒng)洗衣機的認知。4月15日,第125屆中國進出口商品交易會(簡稱廣交會)在廣州開幕,海爾頤人免清洗洗衣機、紫水晶
2019-04-16 09:15:531863

TCL推出免污式洗衣機 將免污技術推向了一個新高度

TCL專注免污技術創(chuàng)新 首創(chuàng)無門封免污結構未來的洗衣機是什么樣,能洗首飾嗎?我們能根據(jù)自己的習慣定制洗護方案嗎?洗衣機自我清潔問題困擾用戶多年,如何才能徹底杜絕洗衣二次污染?這些看來很難
2019-05-15 16:52:20969

洗衣機控制原理及應用特點介紹

洗衣機主要是在水位開關與電磁進水閥之間的調(diào)控進行進水跟排水的的,在一定的情況下,排水跟排水以及電機開關,可以實現(xiàn)自動化的控制。我們這里所說的水位開關說白了就是一個壓力開關而已。然后洗衣機的氣室入口與洗衣機的衣桶是相互聯(lián)接的。
2019-08-07 14:04:2410157

蘇寧美的聯(lián)手 推出首批反向定制洗衣機

1月1日,蘇寧美的聯(lián)手打造的C2M反向定制新品正式開售,包括小天鵝水魔方系列洗衣機、比佛利國米定制洗衣機。這也是蘇寧易購“冰箱洗衣機C2M反向定制”20年計劃的重要開端。
2020-01-02 14:39:48671

云米推出智能波輪洗衣機8kg優(yōu)享版 首發(fā)價749元

3月9日消息,近日小米生態(tài)鏈企業(yè)云米推出了一款智能波輪洗衣機8kg優(yōu)享版,售價899元,首發(fā)可領150元券,到手價僅749元,比紅米洗衣機(799元)還便宜。
2020-03-10 08:57:272547

洗衣機智能驅(qū)動控制芯片方案

洗衣機,一般要求轉(zhuǎn)速為300-17000RPM。因此對控制算法要求非常高。洗衣機電機控制也有著很多額外的功能要求,例如檢測衣物的重量,衣物是否偏心等。///主控芯片
2022-03-10 09:36:26431

智能洗衣機中都有哪些傳感器?

在現(xiàn)代家庭中,洗衣機可以說是必不可少的一件電器,經(jīng)歷了好幾代的發(fā)展,才逐漸有了今天多種多樣的智能洗衣機的出現(xiàn)。早期的洗衣機是雙桶式洗衣機,一邊用來洗衣服,另一邊用來甩干衣服。這一代洗衣技術只是依靠
2021-12-20 09:10:183511

瑞薩MCU洗衣機控制器源代碼

瑞薩MCU洗衣機控制器源代碼
2023-11-09 15:18:307

洗衣機智能驅(qū)動控制芯片方案

無刷直流電機在洗衣機中的應用已經(jīng)較為常見,隨著節(jié)能減排以及碳中和的需要,其市場占比也越來越高。家用洗衣機主要分兩種:波輪洗衣機、滾筒洗衣機。都有著低速大扭矩啟動,調(diào)速范圍寬的特點。特別是滾筒洗衣機
2022-03-09 16:15:59

已全部加載完成