0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

FPGA的圖像抓取和圖像顯示的操作和應(yīng)用

米爾MYIR ? 來源:米爾MYIR ? 作者:米爾MYIR ? 2021-10-14 16:17 ? 次閱讀

隨著現(xiàn)代圖像及視頻處理技術(shù)的不斷發(fā)展,人們對圖像處理提出了新的要求,最近幾年,圖像的分辨率和掃描頻率都有了較大范圍的提升,1080P分辨率的視頻已經(jīng)非常流行,2K甚至4K分辨率的圖像也在火熱發(fā)展中。

基于軟件的圖像處理方法存在著一些局限性,尤其是計算速度和算法效率方面。所以大家很自然的就想到了FPGA作為嵌入式圖像應(yīng)用的平臺。許多圖像處理本身就是并行計算的,并且FPGA的編程硬件,本質(zhì)上也是并行的。

FPGA(Field-Program mable Gate Array),即現(xiàn)場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎(chǔ)上進一步發(fā)展的產(chǎn)物。它是作為專用集成電路ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點。

FPGA功能描述圖示:

MYD-CZU3EG-ISP 提供4K分辨率的攝像頭功能,同時輸出4K分辨率的圖像顯示到圖像輸出接口,下面帶一起來體驗FPGA的圖像抓取和圖像顯示的操作和應(yīng)用。

一、前期準(zhǔn)備工作

產(chǎn)品資料光盤中的鏡像文件和攝像頭測試文件復(fù)制到TF卡中,并安裝光盤中的工業(yè)相機采集軟件OCT SDK工具,同時去cypress官網(wǎng)下載FX3 SDK工具進行固件燒錄。(具體文件路徑請看板卡配套資料)

二、操作過程

(1)將開發(fā)板的啟動模式switch 開關(guān)SW1 的1 撥到OFF,2 撥到ON,3撥到OFF,4 撥到ON,設(shè)置成TF 卡啟動模式;

(2)插入已存入文件的TF 卡,連接串口,波特率設(shè)置為115200,開發(fā)板上電;

(3)開發(fā)板將引導(dǎo)進入Ramdisk 文件系統(tǒng),進入Linux 命令行:

1 Welcome to myir board 2 myir login: root 3 [root@myir ~]#

(4)打開OCT SDK 工具,如下圖,選擇“OCAM Technology”,點擊右鍵選擇Connect》Window:1:

光標(biāo)移到右側(cè)視屏區(qū),點擊右鍵,選擇Start

(5)發(fā)送控制指令,設(shè)置Gige(網(wǎng)絡(luò)接口)為輸出接口的視頻源為1,選擇PS VDMA為輸出的視頻源;

1 [root@myir ~]# cd /mnt/mmcblk1p1/2 [root@myir mmcblk1p1]# 。/setispcmd 81 01 12 00 01 00 02 ff

(6)抓取攝像頭圖像,輸入如下命令,將會生成圖像文件rgb888;

1 [root@myir mmcblk1p1]# 。/ispcaptest

(7)顯示抓取攝像頭圖像;

1 [root@myir mmcblk1p1]# cat rgb888 》/dev/fb0

(8)OCT 工具將會顯示抓取的攝像頭圖像;

三、HDMI接口顯示

如果要用hdmi 接口的4k 顯示器顯示攝像頭圖像,輸入如下命令,將會顯示如下圖的攝像頭圖像。

1 [root@myir ~]# cd /mnt/mmcblk1p1/2 [root@myir mmcblk1p1]# 。/setispcmd 81 01 12 00 01 00 01 ff3 [root@myir mmcblk1p1]# 。/ispcaptest4 [root@myir mmcblk1p1]# cat rgb888 》/dev/fb0

四、USB顯示輸出

如果要用usb 顯示攝像頭圖像,按照“MYD-CZU3EG-ISP 功能描述和編譯”的文檔生成包含usb 顯示圖像的功能的BOOT.bin,替換TF 卡中的BOOT.bin文件,將會顯示如下圖的攝像頭圖像。

1 [root@myir ~]# cd /mnt/mmcblk1p1/2 [root@myir mmcblk1p1]# 。/setispcmd 81 01 12 00 01 00 04 ff3 [root@myir mmcblk1p1]# 。/ispcaptest4 [root@myir mmcblk1p1]# cat rgb888 》/dev/fb0

五、HDMI 顯示QT 界面

將開發(fā)板的啟動模式switch開關(guān)SW1 的1 撥到OFF,2 撥到ON,3撥到OFF,4 撥到ON,設(shè)置成TF 卡啟動模式;

插入已存入燒寫文件的TF 卡,連接串口波特率為115200,開發(fā)板上電;

開發(fā)板將引導(dǎo)進入Ramdisk文件系統(tǒng),進入Linux 命令行,輸入命令開始更新:

1 Welcome to myir board2 myir login: root3 [root@myir ~]#/updatesys.sh /mnt/mmcblk1p1

腳本將把BOOT.bin,devicetree.dtb,Image 燒寫到QSPI-Flash,把rootfs.tar燒寫到eMMC。

燒寫完成之后,將開發(fā)板的啟動模式switch 開關(guān)SW1的1撥到ON,2撥到OFF,3撥到ON,4撥到ON,

設(shè)置成Qspi flash 啟動模式,重新上電,進入燒寫的rootfs文件系統(tǒng),輸入如下命令。

1 Welcome to myir board2 myir login: root3 [root@myir ~]# /mnt/mmcblk1p1/setispcmd 81 01 12 00 01 00 01 ff4 [root@myir ~]# /usr/lib/qt/examples/widgets/painting/deform/deform

六、選擇視頻源的指令說明

選擇視頻源的指令說明見下表,查看ISP 控制指令集,請參考《MYD-CZU3EG -ISP圖像控制指令手冊》文檔。


ISP 視頻源控制指令集
Command Set Command Command Packet Comments
PL Output
Port Video
Source Select
PL Video
Output
Select
81 01 12 00 01 00 0Y ff Y的bit0、1、2分別表示HDMI、Gige、U3V輸出接口的視頻源選擇:0表示選擇PL ISP輸出的視頻、1表示選擇PS VDMA輸出的視頻。

VECP邊緣視覺套件簡介

MYD-CZU3EG開發(fā)板由MYC-CZU3EG核心板加MYB-CZU3EG-C-ISP底板組成。核心板采用了Xilinx最新的基于16nm工藝的Zynq UltraScale+ All Programmable SoC平臺,集成了四核Cortex?-A53 處理器,雙核Cortex?-R5 實時處理單元以及Mali-400 MP2圖形處理單元及16nm FinFET+可編程邏輯相結(jié)合的異構(gòu)處理系統(tǒng)。

底板搭載的接口包括PL端的HDMI輸出,千兆網(wǎng)口,USB3.0 device接口,MIPI接口,高速擴展IO,以及PS端的USB3.0 HOST接口,千兆網(wǎng)口,TF卡接口,USB 轉(zhuǎn)調(diào)試串口等,擁有超低延時4k圖像處理技術(shù),并且圖像處理IP支持定制。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1624

    文章

    21573

    瀏覽量

    600653
  • 視頻
    +關(guān)注

    關(guān)注

    6

    文章

    1926

    瀏覽量

    72723
  • 邊緣計算
    +關(guān)注

    關(guān)注

    22

    文章

    3024

    瀏覽量

    48300
收藏 人收藏

    評論

    相關(guān)推薦

    FPGA圖像處理領(lǐng)域的優(yōu)勢有哪些?

    FPGA(Field Programmable Gate Array,現(xiàn)場可編程門陣列)在圖像處理領(lǐng)域具有顯著的優(yōu)勢,這些優(yōu)勢主要體現(xiàn)在以下幾個方面: 一、高并行處理能力 FPGA內(nèi)部擁有大量的邏輯
    發(fā)表于 10-09 14:36

    基于FPGA圖像采集與顯示系統(tǒng)設(shè)計

    源和固有的并行處理能力,在數(shù)字信號處理、硬件加速、汽車電子等領(lǐng)域得到了廣泛應(yīng)用。在圖像采集與顯示系統(tǒng)中,FPGA能夠?qū)崿F(xiàn)高速、并行的數(shù)據(jù)處理,顯著提高系統(tǒng)的實時性和性能。本文設(shè)計了一個基于FP
    的頭像 發(fā)表于 07-17 10:58 ?1526次閱讀

    圖像識別技術(shù)的原理是什么

    值化、濾波、邊緣檢測等操作。這些操作可以提高圖像的質(zhì)量,減少噪聲,突出圖像的特征,為后續(xù)的特征提取和分類器設(shè)計提供基礎(chǔ)。 1.1 去噪 去噪是去除
    的頭像 發(fā)表于 07-16 10:46 ?738次閱讀

    DSP教學(xué)實驗箱_數(shù)字圖像處理_操作教程:5-1 圖像旋轉(zhuǎn)

    的所有像素都旋轉(zhuǎn)一個相同的角度。和圖像平移一樣,圖像旋轉(zhuǎn)也是圖像的位置變換,對于旋轉(zhuǎn)后超出源圖像范圍的區(qū)域要處理為不顯示。 旋轉(zhuǎn)后的
    發(fā)表于 06-14 14:03

    FPGA設(shè)計經(jīng)驗之圖像處理

    的軟件是指DSP、CPU等等,硬件是指FPGA;一般結(jié)構(gòu)規(guī)則、計算量大的操作如sobel算子、均值濾波可以采用硬件進行,不規(guī)則的動態(tài)可變長度循環(huán)的底層算法由軟件進行。 圖像處理FPGA
    發(fā)表于 06-12 16:26

    基于FPGA的實時邊緣檢測系統(tǒng)設(shè)計,Sobel圖像邊緣檢測,FPGA圖像處理

    算法 ,利用乒乓操作和 SDRAM 緩存圖像,可以實時提取視頻圖像的邊緣特征。文中對比了 MATLAB 和 FPGA 的處理效果,由于 FPGA
    發(fā)表于 05-24 07:45

    基于FPGA的常見的圖像算法模塊總結(jié)

    意在給大家補充一下基于FPGA圖像算法基礎(chǔ),于是講解了一下常見的圖像算法模塊,經(jīng)過個人的總結(jié),將知識點分布如下所示。
    的頭像 發(fā)表于 04-28 11:45 ?484次閱讀
    基于<b class='flag-5'>FPGA</b>的常見的<b class='flag-5'>圖像</b>算法模塊總結(jié)

    FPGA圖像處理—VESA標(biāo)準(zhǔn)與視頻流介紹

    VESA 視頻標(biāo)準(zhǔn)同步信號產(chǎn)生器,是從事FPGA圖像領(lǐng)域工程師經(jīng)常使用到的模塊。
    的頭像 發(fā)表于 02-29 09:56 ?3055次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>圖像</b>處理—VESA標(biāo)準(zhǔn)與視頻流介紹

    圖像放大后,圖像中心顯示在 ,圖像框中心,

    大家好,視覺 顯示 相機圖像時,點擊 放大工具放大后,如何將大圖像的中心 對準(zhǔn)圖像顯示框的中心,,
    發(fā)表于 01-24 15:49

    基于TIC6000 DSP教學(xué)實驗箱_數(shù)字圖像處理操作教程:5-20 圖像離散余弦變換(LCD顯示

    一、實驗?zāi)康?學(xué)習(xí)圖像離散余弦變換的原理,掌握圖像的讀取方法,并實現(xiàn)在LCD上顯示余弦變換前后的圖像。 二、實驗原理 圖像離散余弦變換
    發(fā)表于 01-11 14:34

    一文帶你了解FPGA直方圖操作

    度較低 對比度很高的圖像## FPGA功能分析 對于FPGA進行直方圖操作的時候有兩種方式,一種是真操作,一種是偽
    發(fā)表于 01-10 15:07

    FPGA圖像處理之CLAHE算法

    FPGA圖像處理--CLAHE算法(一)中介紹了為啥要用CLAHE算法來做圖像增強。
    的頭像 發(fā)表于 01-04 12:23 ?2260次閱讀
    <b class='flag-5'>FPGA</b><b class='flag-5'>圖像</b>處理之CLAHE算法

    FPGA圖像處理方法

    圖像細(xì)節(jié)。 FPGA 圖像處理方法 1、圖像增強 兩大方法:空間域方法和時間域方法(以后再詳述) 2、圖像濾波 (1)平滑空間 濾波器 (2
    的頭像 發(fā)表于 12-02 13:15 ?962次閱讀

    嵌入式操作教程:在LCD上顯示灰度圖像的直方圖統(tǒng)計結(jié)果

    學(xué)習(xí)直方圖統(tǒng)計的原理,掌握圖像的讀取方法,并實現(xiàn)在LCD上顯示灰度圖像的直方圖統(tǒng)計結(jié)果。
    的頭像 發(fā)表于 11-30 16:10 ?1080次閱讀
    嵌入式<b class='flag-5'>操作</b>教程:在LCD上<b class='flag-5'>顯示</b>灰度<b class='flag-5'>圖像</b>的直方圖統(tǒng)計結(jié)果

    圖像拼接顯示 #FPGA

    fpga顯示
    辛一_e1e
    發(fā)布于 :2023年11月09日 22:14:33