0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Xilinx FIR IP的介紹及仿真

電子設(shè)計(jì) ? 來源:電子設(shè)計(jì) ? 作者:電子設(shè)計(jì) ? 2020-10-30 12:29 ? 次閱讀

Xilinx FIR IP的介紹與仿真

1 xilinx fir ip 簡(jiǎn)介

1)符合 AXI4-Stream 的接口

2)高性能有限脈沖響應(yīng)(FIR),多相抽取器,多相內(nèi)插器,半帶,半帶抽取器和半帶內(nèi)插器,希爾伯特變換和內(nèi)插濾波器實(shí)現(xiàn)

3)最多支持 256 組系數(shù),處理一組以上時(shí),每組 2 至 2048 個(gè)系數(shù)。

4)輸入數(shù)據(jù)高達(dá) 49 位精度

5)濾波器系數(shù)高達(dá) 49 位精度

6)支持多達(dá) 1024 個(gè)交錯(cuò)數(shù)據(jù)通道

7)支持高級(jí)交錯(cuò)數(shù)據(jù)通道序列

8)通過共享控制邏輯支持多個(gè)并行數(shù)據(jù)通道

9)插值和抽取因子通常最多為 64,單通道濾波器的最大為 1024

10)支持大于時(shí)鐘頻率的采樣頻率

11)在線系數(shù)重裝能力

12)用戶可選的輸出舍入

13)高效的多列結(jié)構(gòu),適用于所有過濾器的實(shí)現(xiàn)和優(yōu)化

Fir 公式

常規(guī)抽頭延遲線 FIR 濾波器表示

2設(shè)計(jì)驗(yàn)證思路

混頻模塊內(nèi)部包含兩個(gè) dds 模塊,一個(gè)產(chǎn)生 2khz sine 波,一個(gè)產(chǎn)生 3khz sine 波,然后相乘得到 1khz+6khz 的混頻,然后使用 xilinx FIR IP 設(shè)計(jì)一個(gè)低通濾波器濾掉 6khz,最后只剩 1khz。

3 matlab fdatool 設(shè)計(jì)低通濾波器

1)打開 fdatool

2)低通濾波器設(shè)計(jì)

Fs=44100;

Fpass =3000;

Fstop =5000;

Apass = 1;

Astop =120;

3系數(shù)量化

4)matlab 導(dǎo)出.coe

4 xilinx FIR IP的設(shè)置與仿真

左側(cè)包含 IP Symbol、Freq.Response、Implementation Details 和 CoefficientReload。右側(cè)包括 Filter Options、Channel Specification、Implementation、Detailed Implementation、Interface 和 Summary.

1)Filter Options

(1)系數(shù)源(Coefficient Source):直接在 GUI 中使用系數(shù)矢量參數(shù)或使用由 CoefficientFile 參數(shù)指定的 .coe 文件,指定要使用的系數(shù)輸入。

(2)系數(shù)向量(Coefficient Vector ):用于直接在 GUI 中指定濾波器系數(shù)。過濾器系數(shù)使用逗號(hào)分隔列表以十進(jìn)制形式指定,與過濾器系數(shù)數(shù)據(jù)文件中的 coefdata 字段相同。與 .coe 文件一樣,可以使用 FIR 編譯器根據(jù)您的要求適當(dāng)量化的非整數(shù)實(shí)數(shù)來指定濾波器系數(shù)。

(3)濾波器類型(Filter Typ ):支持五種濾波器類型:?jiǎn)嗡俾?FIR,插值 FIR,抽取 FIR,希爾伯特變換和插值 FIR。

2)Channel Specification

(1)選擇格式(Select format ):選擇用于指定硬件過采樣率,內(nèi)核可用于處理輸入采樣并生成輸出的時(shí)鐘周期數(shù)的格式。該值直接影響核心實(shí)現(xiàn)和所使用資源的并行度。選擇“頻率規(guī)格”后,可以指定“輸入采樣頻率”和“時(shí)鐘頻率”。這些值之間的比率以及其他核心參數(shù)決定了硬件過采樣率。

選擇“輸入采樣周期”時(shí),可以指定輸入采樣之間的時(shí)鐘周期數(shù)。同樣,選 擇“輸出采樣周期”時(shí),可以指定輸出采樣之間的時(shí)鐘周期數(shù)。

(2)采樣周期(Sample Period ):輸入或輸出采樣之間的時(shí)鐘周期數(shù)。當(dāng)指定了多個(gè)通道時(shí),該值應(yīng)該是時(shí)分多路復(fù)用輸入樣本數(shù)據(jù)流之間時(shí)鐘周期的整數(shù)。可以使用分?jǐn)?shù)采樣周期來指定大于時(shí)鐘頻率的采樣頻率 .

(3)輸入采樣頻率(Input Sampling Frequency ):該字段可以是整數(shù)或?qū)崝?shù)值;它指定一個(gè)通道的采樣頻率。根據(jù)時(shí)鐘頻率和濾波器參數(shù)(例如插值率和通道數(shù))設(shè)置上限。

(4)時(shí)鐘頻率(Clock Frequency ):此字段可以是整數(shù)或?qū)崝?shù)值。限制是根據(jù)采樣頻率,內(nèi)插率和通道數(shù)設(shè)置的。該領(lǐng)域僅影響體系結(jié)構(gòu)選擇。最終的實(shí)現(xiàn)可能無法達(dá)到指定的時(shí)鐘速率。

3) Implementation

(1)系數(shù)類型(Coefficient Type ):系數(shù)數(shù)據(jù)可以指定為有符號(hào)或無符號(hào)。

(2)輸入數(shù)據(jù)小數(shù)位(Input Data Fractional Bits ):用于表示過濾器輸入數(shù)據(jù)樣本的小數(shù)部分的輸入數(shù)據(jù)寬度位數(shù)。該字段僅供參考。它與系數(shù)小數(shù)位一起使用,以計(jì)算濾波器的輸出小數(shù)位值。此參數(shù)在 IP 集成器中自動(dòng)設(shè)置,但也可以被覆蓋。

(3)輸出舍入模式(Output Rounding Mode ):指定要應(yīng)用于過濾器輸出的舍入類型。

(4)輸出小數(shù)位(Output Fractional Bits ):此字段報(bào)告用于表示濾波器輸出樣本小數(shù)部分的輸出寬度位數(shù)

4) Interface

5) Freq.Response

將混頻信號(hào)和經(jīng)過 xilinx FIR IP濾波后信號(hào)寫出 matlab 觀察時(shí)域和頻域 .

1混頻信號(hào)

濾波前的 1khz+6khz 的混頻信號(hào)。

2濾波后

如上所示,經(jīng)過濾波后 6khz 完全被濾除,只剩下 1khz 信號(hào)。仿真驗(yàn)證成功。


審核編輯 黃昊宇

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • FIR
    FIR
    +關(guān)注

    關(guān)注

    4

    文章

    145

    瀏覽量

    33023
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3995

    瀏覽量

    133222
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Efinity FIFO IP仿真問題 -v1

    Efinity目前不支持聯(lián)合仿真,只能通過調(diào)用源文件仿真。 我們生成一個(gè)fifo IP命名為fifo_sim 在Deliverables中保留Testbench的選項(xiàng)。 在IP的生成目
    的頭像 發(fā)表于 10-21 11:41 ?531次閱讀
    Efinity FIFO <b class='flag-5'>IP</b><b class='flag-5'>仿真</b>問題 -v1

    TAS5805M 128 Tap FIR filter的作用是什么?

    如下圖所示 1、128 Tap FIR filter的作用是什么? 2、TAS5805M Process Flows中只是介紹FIR濾波器系數(shù)用類似于MATLAB的工具生成,再倒入進(jìn)去,要怎樣設(shè)置生成文件,然后再導(dǎo)入?
    發(fā)表于 10-15 07:41

    介紹FIR濾波模型的建立,分4個(gè)步驟

    本帖介紹FIR濾波模型的建立,分以下幾個(gè)步驟: 選定濾波結(jié)構(gòu):低通、高通、帶通、帶阻; 選定合適的窗函數(shù),常見的有hamming、hanning、blackman、ExactBlackman
    發(fā)表于 09-04 09:08

    如何在ModelSim中添加Xilinx仿真庫(kù)

    。 9、再次打開ModelSim,即可以看到Xilinx的庫(kù)已經(jīng)默認(rèn)出現(xiàn)在了庫(kù)列表里。以后仿真XilinxIP核時(shí),就不用每次都添加庫(kù)了。
    發(fā)表于 07-03 18:16

    介紹如何切換動(dòng)靜態(tài)IP方法 # 全球ip代理#靜態(tài)IP

    IP
    jf_62215197
    發(fā)布于 :2024年06月06日 08:45:01

    請(qǐng)問stm32f4的dsp庫(kù)里面fir函數(shù)如何使用?

    請(qǐng)問在stm32f4 的dsp庫(kù)里面有好幾個(gè)關(guān)于fir的函數(shù),因?yàn)闆]有源碼,我也沒有什么關(guān)于這個(gè)dsp函數(shù)的介紹,所以看不懂這些函數(shù)怎么用呢。比如說void arm_fir
    發(fā)表于 05-17 08:07

    Matlab生成fir濾波器抽頭系數(shù)

    在Vivado調(diào)用fir濾波器時(shí),我們會(huì)遇到需要填充濾波器抽頭系數(shù)的問題,手工計(jì)算又不現(xiàn)實(shí),所以在此向大家介紹一個(gè)生成系數(shù)的工具。 首先,我們打開matlab軟件,在命令窗口輸入fdatool并回
    發(fā)表于 04-30 16:17

    FPGA開發(fā)如何降低成本,比如利用免費(fèi)的IP內(nèi)核

    匹配的IP內(nèi)核。這些免費(fèi)的IP內(nèi)核可能涵蓋了多種功能模塊,如FIFO、RAM、FIR濾波器、SDRAM控制器、PCIE接口等。例如,如果需要處理數(shù)據(jù)隊(duì)列,那么FIFO IP內(nèi)核可能是一
    發(fā)表于 04-28 09:41

    Vivado 使用Simulink設(shè)計(jì)FIR濾波器

    領(lǐng)域都有著廣泛的應(yīng)用。 Vivado自帶的FIR濾波器IP核已經(jīng)很好用,這里借FIR濾波器的設(shè)計(jì),介紹Simulink圖形設(shè)計(jì)編程方法。Simulink可以使設(shè)計(jì)更直觀,使硬件資源得到
    發(fā)表于 04-17 17:29

    怎樣單獨(dú)使用modelsim仿真xilinx呢?

    直接在modelsim軟件內(nèi)執(zhí)行.do文件進(jìn)行仿真,不通過vivado調(diào)用modelsim,vivado僅用于生成IP核。
    的頭像 發(fā)表于 12-04 18:26 ?1205次閱讀
    怎樣單獨(dú)使用modelsim<b class='flag-5'>仿真</b><b class='flag-5'>xilinx</b>呢?

    FPGA新IP核學(xué)習(xí)的正確打開方式

    的情況時(shí),總會(huì)遇到一些以前未曾接觸過的新內(nèi)容,這些新內(nèi)容會(huì)讓我們感到陌生和恐懼,不知道該如何下手。 那么今天以xilinx vivado 為例分享學(xué)習(xí)FPGA 新IP核的正確打開方式。 一、常規(guī)
    發(fā)表于 11-17 11:09

    Xilinx FPGA IP之Block Memory Generator仿真

    上文對(duì)BMG ip的基本情況進(jìn)行了簡(jiǎn)單的描述,本文通過例化仿真來實(shí)際使用功能一下這個(gè)IP。
    的頭像 發(fā)表于 11-14 18:24 ?1578次閱讀
    <b class='flag-5'>Xilinx</b> FPGA <b class='flag-5'>IP</b>之Block Memory Generator<b class='flag-5'>仿真</b>

    Xilinx FPGA IP之Block Memory Generator功能概述

    Xilinx Block Memory Generator(BMG)是一個(gè)先進(jìn)的內(nèi)存構(gòu)造器,它使用Xilinx fpga中的嵌入式塊RAM資源生成面積和 性能優(yōu)化的內(nèi)存。
    的頭像 發(fā)表于 11-14 17:49 ?2246次閱讀
    <b class='flag-5'>Xilinx</b> FPGA <b class='flag-5'>IP</b>之Block Memory Generator功能概述

    基于NS2仿真IP網(wǎng)絡(luò)性能分析與研究

    電子發(fā)燒友網(wǎng)站提供《基于NS2仿真IP網(wǎng)絡(luò)性能分析與研究.pdf》資料免費(fèi)下載
    發(fā)表于 10-24 11:41 ?0次下載
    基于NS2<b class='flag-5'>仿真</b>的<b class='flag-5'>IP</b>網(wǎng)絡(luò)性能分析與研究