0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

如何加速M(fèi)odelsim仿真時(shí)間?

FPGA之家 ? 來源: FPGA開源工作室 ? 作者: FPGA開源工作室 ? 2021-04-02 13:58 ? 次閱讀

Modelsim加速仿真技巧

《前言》

最近在Modelsim仿真過程中,遇到一個(gè)大問題,對于分辨率2048*500的圖像數(shù)據(jù),在進(jìn)行時(shí)序約束中,發(fā)現(xiàn)算法模塊最高只能跑到60Mhz多,而要求必須跑到100Mhz,因而時(shí)序不滿足要求;通過看時(shí)序報(bào)告,關(guān)鍵路徑基本上都是在reg to mem和mem to reg path上,嘗試修改對邏輯處理影響較大。

如何在不修改核心算法邏輯的前提下,保證功能和時(shí)序滿足,辦法還是有的,后來發(fā)現(xiàn)100Mhz頻率下算法模塊輸入的數(shù)據(jù)有效帶寬只有50% ,因此考慮算法模塊入口處增加一個(gè)整形的異步FIFO,寫時(shí)鐘100Mhz,讀時(shí)鐘50Mhz這樣算法模塊只工作在50Mhz時(shí)鐘頻率下,可以滿足時(shí)序要求。同時(shí)也滿足100Mhz接口時(shí)序的要求。

此時(shí),增加一個(gè)時(shí)鐘ip核來得到50Mhz,發(fā)現(xiàn)仿真速度極其慢,由原來的1~2分鐘左右變?yōu)楝F(xiàn)在的1小時(shí)左右,定位個(gè)問題,效率太低了。

《如何加速M(fèi)odelsim仿真時(shí)間》

首先,需要說明的是,Modelsim仿真時(shí)間長短,也有電腦配置有關(guān),i7+16G的配置其實(shí)也就10分鐘左右 ,i5+8G的配置就是1小時(shí)多。

其次,就是在仿真平臺上下功夫:

方法一:修改代碼仿真精度,精度越高,Modelsim效率越低。1n/1ps 修改為 1n/1ns 速度可提升一倍。

方法二:減少層次結(jié)構(gòu),減少波形信號的顯示。特別是輸出的數(shù)據(jù)文件,能減少就減少。

方法三:在不影響功能的前提下,降低測試的圖像分辨率,或者一些計(jì)數(shù)器適當(dāng)縮短計(jì)數(shù),都可以達(dá)到提速的目的。

方法四:當(dāng)文件仿真系統(tǒng)有大量文件時(shí),修改某個(gè)Module的信號,增量編譯可以節(jié)省時(shí)間,verilog :vlog -incr vhdl:vcom -incr

方法五:減少IP的調(diào)用,比如我的這個(gè)慢的主要原因就是調(diào)用時(shí)鐘IP的原因;這里兩個(gè)時(shí)鐘都可以在testbench 生成,大大減少了仿真時(shí)間,又回到了幾分鐘。

原文標(biāo)題:Modelsim加速仿真技巧

文章出處:【微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

責(zé)任編輯:haq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    4003

    瀏覽量

    133240
  • ModelSim
    +關(guān)注

    關(guān)注

    5

    文章

    174

    瀏覽量

    47065

原文標(biāo)題:Modelsim加速仿真技巧

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    使用modelsim時(shí)的問題分析

    仿真對于FPGA設(shè)計(jì)來說至關(guān)重要,我們經(jīng)常使用modelsim來進(jìn)行功能仿真或者時(shí)序仿真,這樣就需要將modelsim和設(shè)計(jì)軟件(quart
    的頭像 發(fā)表于 10-24 18:15 ?63次閱讀
    使用<b class='flag-5'>modelsim</b>時(shí)的問題分析

    如何在ModelSim中添加Xilinx仿真

    今天給大俠帶來在FPGA設(shè)計(jì)應(yīng)用中如何在ModelSim中添加Xilinx仿真庫,話不多說,上貨。 注意:ModelSim一定要安裝在不帶空格的目錄下,即不要安裝在“Program
    發(fā)表于 07-03 18:16

    ISE 關(guān)聯(lián) Modelsim 詳細(xì)操作

    在兩者之間即可,然后保存。 第三步,打開ISE,在菜單Edit-Preferences…,調(diào)出設(shè)置窗口。 設(shè)置好之后就到了最后一步,在新建工程時(shí),選擇對應(yīng)的modelsim即可,在看仿真時(shí)正常打開就行了。
    發(fā)表于 03-22 18:55

    最實(shí)用的Modelsim使用教程

    今天給大俠帶來最實(shí)用的Modelsim初級使用教程,話不多說,上貨。 一、 Modelsim簡介 Modelsim仿真工具是Model公司開發(fā)的。它支持Verilog、VHDL
    發(fā)表于 03-19 16:40

    fpga仿真文件怎么寫

    首先,你需要選擇一個(gè)FPGA仿真軟件,如ModelSim、Vivado、Quartus II等。這些軟件都提供了強(qiáng)大的仿真功能,可以幫助你驗(yàn)證FPGA設(shè)計(jì)的正確性。
    的頭像 發(fā)表于 03-15 14:00 ?661次閱讀

    最實(shí)用的Modelsim使用及仿真的基本步驟

    仿真也稱為時(shí)序仿真或者布局布線后仿真,是指電路已經(jīng)映射到特定的工藝環(huán)境以后,綜合考慮電路的路徑延遲與門延遲的影響,驗(yàn)證電路能否在一定時(shí)序條件下滿足設(shè)計(jì)構(gòu)想的過程,是否存在時(shí)序違規(guī)。
    的頭像 發(fā)表于 03-06 09:58 ?8361次閱讀
    最實(shí)用的<b class='flag-5'>Modelsim</b>使用及<b class='flag-5'>仿真</b>的基本步驟

    【基于Lattice MXO2的小腳丫FPGA核心板】02ModelSim仿真

    的界面點(diǎn)擊Finish后,會自動(dòng)進(jìn)入ModelSim軟件中進(jìn)行模塊仿真。在仿真界面中,可以將模塊的輸入輸出添加到監(jiān)視界面,便于進(jìn)行模塊的程序邏輯分析。 設(shè)定仿真的運(yùn)行
    發(fā)表于 02-29 08:25

    Modelsim報(bào)錯(cuò), -novopt 開關(guān)打開,仿真失敗

    在使用紫光同創(chuàng)PDS和Modelsim聯(lián)合仿真時(shí),modelsim報(bào)錯(cuò)不會解決,如下圖
    發(fā)表于 02-18 10:26

    感應(yīng)電機(jī)的加速時(shí)間和失速時(shí)間

    感應(yīng)電機(jī)的加速時(shí)間和失速時(shí)間? 感應(yīng)電機(jī)是一種常見的交流電機(jī),廣泛應(yīng)用于工業(yè)、農(nóng)業(yè)和家用領(lǐng)域。它具有結(jié)構(gòu)簡單、可靠性高、效率較高的特點(diǎn),因此深受人們喜愛。本文將詳細(xì)介紹感應(yīng)電機(jī)的加速
    的頭像 發(fā)表于 02-01 14:44 ?973次閱讀

    如何使用 ModelSim 進(jìn)行設(shè)計(jì)仿真

    ModelSim為HDL仿真工具,我們可以利用該軟件來實(shí)現(xiàn)對所設(shè)計(jì)的VHDL或Verilog程 序進(jìn)行仿真,支持IEEE常見的各種硬件描述語言標(biāo)準(zhǔn)??梢赃M(jìn)行兩種語言的混合仿真,但 推薦
    發(fā)表于 01-14 09:47 ?0次下載

    modelsim安裝運(yùn)行patch閃退

    模擬器的安裝和運(yùn)行是計(jì)算機(jī)科學(xué)中非常重要的一環(huán)。ModelSim是一種流行的數(shù)字電子設(shè)計(jì)自動(dòng)化工具,用于驗(yàn)證和仿真硬件設(shè)計(jì)。然而,有時(shí)安裝和運(yùn)行Patch可能會導(dǎo)致閃退問題。本文將詳細(xì)解釋如何安裝
    的頭像 發(fā)表于 01-04 10:43 ?1327次閱讀

    怎樣單獨(dú)使用modelsim仿真xilinx呢?

    直接在modelsim軟件內(nèi)執(zhí)行.do文件進(jìn)行仿真,不通過vivado調(diào)用modelsim,vivado僅用于生成IP核。
    的頭像 發(fā)表于 12-04 18:26 ?1212次閱讀
    怎樣單獨(dú)使用<b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>xilinx呢?

    如何加速HBM仿真迭代優(yōu)化?

    如何加速HBM仿真迭代優(yōu)化?
    的頭像 發(fā)表于 11-29 16:13 ?631次閱讀
    如何<b class='flag-5'>加速</b>HBM<b class='flag-5'>仿真</b>迭代優(yōu)化?

    求助,關(guān)于電機(jī)加速時(shí)間計(jì)算問題

    我換算為:J=2.25*10^(-7)kgm^2T=0.0108Nm 由公式T=Jα 得 α=T/J=0.0108/[2.25*10^(-7)]=48000rad/s^2 所以加速時(shí)間 t=w
    發(fā)表于 11-20 06:45

    逆變器加速和減速時(shí)間科普,這個(gè)速度等級

    逆變器加速和減速時(shí)間介紹1.加速時(shí)間是多少?設(shè)置加速時(shí)間參數(shù)要考慮哪些因素?
    的頭像 發(fā)表于 11-09 10:30 ?922次閱讀
    逆變器<b class='flag-5'>加速</b>和減速<b class='flag-5'>時(shí)間</b>科普,這個(gè)速度等級