0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Vivado的XDC設置輸出延時問題

電子工程師 ? 來源:XILINX開發(fā)者社區(qū) ? 作者:賽靈思開發(fā)者 ? 2021-06-09 17:28 ? 次閱讀

Vivado 的XDC設置輸出延時

Vivado 的XDC設置輸出延時,用于輸出伴隨時鐘和數(shù)據(jù)的,數(shù)據(jù)是由系統(tǒng)時鐘125M驅動,伴隨時鐘是由125M經(jīng)過Pll相位移動-90度。

設置輸出時鐘時,參考時鐘選擇相移的那個,發(fā)現(xiàn)不起作用,沒有路徑。

如果選擇系統(tǒng)時鐘,分析后是系統(tǒng)時鐘的最大最小延時,沒有相位移動后的信息,這是什么問題?

伴隨時鐘創(chuàng)建的Create_generated_clock中的Set_output_delay如下:

1f6a0dfe-c576-11eb-9e57-12bb97331649.png

Txc1 是鎖相環(huán)移動相位后直接送到輸出管腳,Rxc1是驅動數(shù)據(jù)的。

數(shù)據(jù)輸出路徑以及對應的Clock的連接Schematic截圖

Txc是Rx經(jīng)過鎖相環(huán)再經(jīng)過oddr生成的

數(shù)據(jù)輸出是Rxc驅動Oddr打出來的

軟件認為這個時鐘沒有路徑,這個問題在ISE上也遇到過,軟件時鐘始終不認伴隨時鐘

A1

ISE約束和Vivado的約束用法不同,Create_generated_clock的source指定的net是哪一段,改為用get_pins指定的ODDR的C pin試試。Report_clocks結果查一下你的clock約束都生效了嗎?

Q2

指定Obuf的O管腳和Edit Constraints重新編輯后,約束成功了,請問原因是什么?create_generated_clock在set_output_delay約束的簽名,有時候把鎖相環(huán)輸出的bufg改成no buffer但是生成的網(wǎng)表里仍然有,關閉再打開就沒了,但時序分析路徑卻有這個bug。

A2

是不是synthesized design沒有reload?如果synthesized design 已經(jīng)是打開的情況下,修改設計重新synthesize,已經(jīng)打開的synthesized design會提示需要reload,否則是修改之前的結果

如果發(fā)生約束沒有約束上的問題,可以查一下messages窗口里的critical warning或warning,看是否有提到關于這條約束的問題。

也可以在synthesized design或者implemented design的tcl console里,report_timing用-from -to指定路徑起始點來report下相應path看是如何分析的,可以從分析結果看下是path不存在還是約束有問題。

如果用edit constraints重新編輯并保存就好了,很可能是原來手寫的約束哪里有問題

原文標題:本周一問 | Vivado 的XDC設置輸出延時

文章出處:【微信公眾號:XILINX開發(fā)者社區(qū)】歡迎添加關注!文章轉載請注明出處。

責任編輯:haq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權轉載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • Xilinx
    +關注

    關注

    71

    文章

    2152

    瀏覽量

    120742
  • Vivado
    +關注

    關注

    19

    文章

    803

    瀏覽量

    66153

原文標題:本周一問 | Vivado 的XDC設置輸出延時

文章出處:【微信號:gh_2d1c7e2d540e,微信公眾號:XILINX開發(fā)者社區(qū)】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    Vivado使用小技巧

    有時我們對時序約束進行了一些調整,希望能夠快速看到對應的時序報告,而又不希望重新布局布線。這時,我們可以打開布線后的dcp,直接在Vivado Tcl Console里輸入更新后的時序約束。如果調整
    的頭像 發(fā)表于 10-24 15:08 ?89次閱讀
    <b class='flag-5'>Vivado</b>使用小技巧

    使用XDC工具創(chuàng)建TMS320DM6446音頻編碼示例

    電子發(fā)燒友網(wǎng)站提供《使用XDC工具創(chuàng)建TMS320DM6446音頻編碼示例.pdf》資料免費下載
    發(fā)表于 10-15 09:18 ?0次下載
    使用<b class='flag-5'>XDC</b>工具創(chuàng)建TMS320DM6446音頻編碼示例

    延時開關怎么調時間長短

    輸出端、延時控制電路和執(zhí)行機構等部分組成。輸入端接收外部信號,如電源、開關信號等;輸出端控制被控設備的開關;延時控制電路負責設定和控制延時
    的頭像 發(fā)表于 08-19 15:46 ?855次閱讀

    延時開關上l和a是什么意思

    的基本概念 1.1 延時開關的定義 延時開關是一種具有延時功能的電子控制元件,它可以根據(jù)設定的時間參數(shù),在電路中實現(xiàn)自動控制。當輸入信號達到設定條件時,延時開關會在一定的
    的頭像 發(fā)表于 08-19 15:45 ?424次閱讀

    延時繼電器工作原理及應用實例

    、順序控制以及各種延時功能,確保設備按照預定的時間程序運行。以下是延時繼電器的工作原理的詳細說明: 延時繼電器的基本結構與類型 延時繼電器通常由以下幾個主要部分構成:輸入電路、
    的頭像 發(fā)表于 06-23 11:54 ?1565次閱讀

    如何設置三菱變頻器的輸出輸入頻率

    三菱變頻器作為工業(yè)控制領域的重要設備,其輸出輸入頻率的設置對于設備的正常運行和效率提升具有至關重要的作用。本文將詳細介紹如何設置三菱變頻器的輸出輸入頻率,并圍繞這一主題展開詳細論述,以
    的頭像 發(fā)表于 06-15 15:23 ?1822次閱讀

    Vivado編譯常見錯誤與關鍵警告梳理與解析

    Xilinx Vivado開發(fā)環(huán)境編譯HDL時,對時鐘信號設置了編譯規(guī)則,如果時鐘由于硬件設計原因分配到了普通IO上,而非_SRCC或者_MRCC專用時鐘管腳上時,編譯器就會提示錯誤。
    的頭像 發(fā)表于 04-15 11:38 ?4339次閱讀

    詳解Vivado非工程模式的精細設計過程

    設置設計的輸出路徑,設置設計輸出路徑的步驟如下所示。 第一步:如圖4.3所示,在“Vivado%”提示符后輸入命令“set outputD
    發(fā)表于 04-03 09:34 ?1247次閱讀
    詳解<b class='flag-5'>Vivado</b>非工程模式的精細設計過程

    時間繼電器通電延時與斷電延時的區(qū)別

    們都具有重要的作用。在本文中,我們將詳細介紹時間繼電器通電延時和斷電延時的區(qū)別。 首先,讓我們來了解一下時間繼電器的基本工作原理。時間繼電器通常由一個定時器和一個繼電器組成。定時器用于設置所需的
    的頭像 發(fā)表于 02-04 13:43 ?3868次閱讀

    如何禁止vivado自動生成 bufg

    Vivado中禁止自動生成BUFG(Buffered Clock Gate)可以通過以下步驟實現(xiàn)。 首先,讓我們簡要了解一下什么是BUFG。BUFG是一個時鐘緩沖器,用于緩沖輸入時鐘信號,使其更穩(wěn)
    的頭像 發(fā)表于 01-05 14:31 ?1738次閱讀

    Vivado時序問題分析

    有些時候在寫完代碼之后呢,Vivado時序報紅,Timing一欄有很多時序問題。
    的頭像 發(fā)表于 01-05 10:18 ?1674次閱讀

    信號發(fā)生器如何設置雙窄脈沖同步輸出?

    信號發(fā)生器如何設置雙窄脈沖同步輸出? 信號發(fā)生器是一種使用電子設備產(chǎn)生以下類型信號的儀器:連續(xù)波形、脈沖波形、調幅波形、調頻波形等。雙窄脈沖同步輸出是一種常見的需求,特別用于電子設備的測試和測量等
    的頭像 發(fā)表于 12-21 14:13 ?1150次閱讀

    VIVADO軟件使用問題總結

    【關鍵問題?。。。≈匾。?!】VIVADO會在MESSAGE窗口出提示很多錯誤和警告信息!
    的頭像 發(fā)表于 12-15 10:11 ?1668次閱讀
    <b class='flag-5'>VIVADO</b>軟件使用問題總結

    Vivado常見Warning問題解決方法

    在約束文件XDC(SCOPED_TO_REF、SCOPED_TO_CELLS)中使用“create_clock -name”約束時,在打開綜合設計或實現(xiàn)設計時,或者在綜合或實現(xiàn)期間,可以觀察到以下警告。
    的頭像 發(fā)表于 12-15 10:10 ?2564次閱讀
    <b class='flag-5'>Vivado</b>常見Warning問題解決方法

    如何使RC延時電路無延時呢?

    如何使RC延時電路無延時呢? RC延時電路是一種常見的電子電路,用于在電路中引入一個可控的時間延遲。然而,有時候我們可能需要使RC延時電路無延時
    的頭像 發(fā)表于 11-20 17:05 ?1171次閱讀