0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片設(shè)計(jì)之邏輯綜合過程

倩倩 ? 來源:《IC設(shè)計(jì)與方法》 ? 作者:《IC設(shè)計(jì)與方法》 ? 2022-08-12 15:10 ? 次閱讀

工程師通過邏輯綜合過程,將代碼轉(zhuǎn)化為電路圖,聘請(qǐng)sysnopsys公司的綜合工程師需要每天付費(fèi)約1500美元。

基本邏輯綜合過程如下:

(1)完成代碼編寫(Prepare HDL Code)。

(2)描述庫(Specify library),如標(biāo)準(zhǔn)單元(由其他設(shè)計(jì)人員完成的功能模塊,可直接在新的芯片設(shè)計(jì)中使用)的描述。

(3)讀取設(shè)計(jì)(Read design),讀取設(shè)計(jì)可以檢測代碼是否可以轉(zhuǎn)化成電路和轉(zhuǎn)化電路過程中是否有問題。

(4)定義設(shè)計(jì)環(huán)境(Define design environment),需定義芯片工作的環(huán)境,芯片外部信號(hào)由哪些其他芯片提供,芯片為哪些其他芯片提供信號(hào)。

(5)設(shè)置約束(Set design constraints),包括對(duì)芯片時(shí)鐘頻率的描述、輸入接口信號(hào)時(shí)序的描述、輸出接口時(shí)序的描述、對(duì)面積的要求、對(duì)功耗的要求等。

(6)邏輯綜合操作(Compile design),根據(jù)芯片的復(fù)雜程度,邏輯綜合操作的時(shí)間可能是幾秒,也可能是半個(gè)月。如果設(shè)計(jì)環(huán)境和約束設(shè)置不當(dāng),邏輯綜合操作的時(shí)間會(huì)被延長。

(7)分析結(jié)果(Analyze and resolve design problems),邏輯綜合操作結(jié)束后,計(jì)算機(jī)會(huì)輸出門級(jí)網(wǎng)單(電路)及門級(jí)網(wǎng)單的時(shí)序、功耗等參數(shù)。如果輸出結(jié)果與設(shè)計(jì)要求偏差在20%以內(nèi),可以通過修改設(shè)計(jì)環(huán)境(4)和約束(5),做進(jìn)一步優(yōu)化。如果偏差較大,需要返回過程(1)代碼編寫,重新修改芯片設(shè)計(jì)代碼。

(8)保存結(jié)果(Save design database)當(dāng)門級(jí)網(wǎng)單符合設(shè)計(jì)要求,可以將結(jié)果保存。所設(shè)計(jì)的門級(jí)網(wǎng)單和設(shè)計(jì)相關(guān)的時(shí)序信息都需要保存。

2e54cdba-19f6-11ed-ba43-dac502259ad0.png

圖片來源:學(xué)堂在線《IC設(shè)計(jì)與方法》

過程(3)讀取設(shè)計(jì),工程師需要詳細(xì)檢查軟件給出的報(bào)告,檢查代碼是否有疏漏。

2e8a2546-19f6-11ed-ba43-dac502259ad0.png

圖片來源:學(xué)堂在線《IC設(shè)計(jì)與方法》

過程(5)設(shè)置約束包含時(shí)序和面積的約束,通常時(shí)序約束的優(yōu)先級(jí)更高。

對(duì)于下圖X路徑(只涉及內(nèi)特性)的約束,設(shè)計(jì)人員可以通過約束兩個(gè)觸發(fā)器(FF2、FF3)的時(shí)鐘周期令軟件計(jì)算出X路徑的延時(shí),完成對(duì)X的約束。因?yàn)檐浖梢蕴崛lock to Q和Set up的時(shí)間,根據(jù)時(shí)鐘周期>Clockto Q+穿越組合邏輯電路的最長延時(shí)+Set up公式,可計(jì)算X路徑的延時(shí)。

對(duì)于下圖N、S路徑(涉及電路外特性),設(shè)計(jì)人員可以通過約束外部信號(hào)輸入和外部電路穩(wěn)定裝載輸出信號(hào)的延時(shí)分別對(duì)N和S(S在紫色的框右側(cè)的橢圓中,有些模糊)約束。

2ec075a6-19f6-11ed-ba43-dac502259ad0.png

圖片來源:學(xué)堂在線《IC設(shè)計(jì)與方法》

描述時(shí)序約束還需關(guān)注電路中的連線描述,因?yàn)樵谶壿嬀C合階段,連線未確定,因此采用估計(jì)的方式得出連線電阻、電容、面積。

假設(shè)一個(gè)元器件有6個(gè)負(fù)載(Fanout=6),下圖中黃框內(nèi)fanoutlength表示負(fù)載個(gè)數(shù)對(duì)應(yīng)的連線長度(Net lenght)。

對(duì)于6個(gè)負(fù)載(超過4個(gè)負(fù)載)的情況,采用公式:

Net lenght=3.9+2*0.5

上式中“2”表示超過4個(gè)負(fù)載的數(shù)量,“0.5”從黃框中顯示的slope等效長度得出。

估算出連線長度后,乘以黃框中電阻(resistance)、電容(capacitance)、面積(area)對(duì)應(yīng)的參數(shù),估算出芯片連線的電阻、電容、面積。

2ef00fc8-19f6-11ed-ba43-dac502259ad0.png

圖片來源:學(xué)堂在線《IC設(shè)計(jì)與方法》

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 芯片
    +關(guān)注

    關(guān)注

    452

    文章

    50025

    瀏覽量

    419772
  • 邏輯
    +關(guān)注

    關(guān)注

    2

    文章

    831

    瀏覽量

    29420

原文標(biāo)題:芯片設(shè)計(jì)相關(guān)介紹(24)——邏輯綜合過程(上)

文章出處:【微信號(hào):行業(yè)學(xué)習(xí)與研究,微信公眾號(hào):行業(yè)學(xué)習(xí)與研究】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    邏輯綜合的流程和命令簡析

    綜合就是把Verilog、VHDL轉(zhuǎn)換成網(wǎng)表的過程。綜合按照是否考慮物理布局信息可分為邏輯綜合和物理綜合
    的頭像 發(fā)表于 08-09 09:51 ?911次閱讀
    <b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>的流程和命令簡析

    淺談IC設(shè)計(jì)中邏輯綜合

    淺談IC設(shè)計(jì)中邏輯綜合引言在IC設(shè)計(jì)流程中,邏輯綜合是后端設(shè)計(jì)中很重要的一個(gè)環(huán)節(jié)。綜合就是指使用綜合
    發(fā)表于 05-16 20:02

    求一套手工邏輯綜合的方法和綜合步驟?

    手工綜合RTL級(jí)代碼的理論依據(jù)和實(shí)用方法時(shí)序邏輯綜合的實(shí)現(xiàn)方法
    發(fā)表于 04-08 06:06

    DC邏輯綜合詳解

    DC邏輯綜合詳解DC軟件簡介邏輯綜合DC命令DC軟件簡介DC( Design Compiler )為Synopsys公司邏輯合成工具。DC得
    發(fā)表于 07-29 08:07

    什么是邏輯綜合?

    DC軟件怎么樣?什么是邏輯綜合?
    發(fā)表于 11-02 06:41

    DC邏輯綜合

    芯片綜合過程芯片的規(guī)格說明,芯片設(shè)計(jì)的劃分,預(yù)布局,RTL 邏輯單元的
    發(fā)表于 12-29 16:28 ?25次下載
    DC<b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>

    Labview綜合實(shí)例

    Labview綜合實(shí)例,很好的Labview資料,快來下載學(xué)習(xí)吧。
    發(fā)表于 04-19 09:54 ?0次下載

    邏輯漏洞越權(quán)詳解

    邏輯漏洞越權(quán)詳解
    發(fā)表于 09-07 09:41 ?5次下載
    <b class='flag-5'>邏輯</b>漏洞<b class='flag-5'>之</b>越權(quán)詳解

    ASIC邏輯綜合及Synopsys Design Compiler 的使用資料說明

    本文檔的主要內(nèi)容詳細(xì)介紹的是ASIC邏輯綜合及Synopsys Design Compiler 的使用資料說明包括了:1、邏輯綜合基本概念 a) Synopsys
    發(fā)表于 10-23 08:00 ?5次下載
    ASIC<b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>及Synopsys  Design Compiler 的使用資料說明

    芯片設(shè)計(jì)ASIC設(shè)計(jì)流程和邏輯綜合

    邏輯綜合(Logic Synth.)過程需要約束(Stat. Wire Model)以產(chǎn)生規(guī)定條件下的電路。具體電路設(shè)計(jì)完成后,需進(jìn)行門級(jí)仿真(Gate-Lev.Sim),以檢查電路設(shè)計(jì)是否出現(xiàn)失誤。
    的頭像 發(fā)表于 08-12 15:06 ?4908次閱讀

    芯片設(shè)計(jì)邏輯綜合過程(下)

    描述時(shí)鐘網(wǎng)絡(luò)信號(hào)的參數(shù)有兩個(gè):Clock Latency和Clock Uncertainty。Clock Latency表示時(shí)鐘信號(hào)經(jīng)由其他元器件和連線到達(dá)D觸發(fā)器的延時(shí),Clock Uncertainty表示各個(gè)D觸發(fā)器間時(shí)鐘延時(shí)的微小差異。
    的頭像 發(fā)表于 08-15 16:05 ?1557次閱讀

    邏輯綜合與物理綜合

    利用工具將RTL代碼轉(zhuǎn)化為門級(jí)網(wǎng)表的過程稱為邏輯綜合。綜合一個(gè)設(shè)計(jì)的過程,從讀取RTL代碼開始,通過時(shí)序約束關(guān)系,映射產(chǎn)生一個(gè)門級(jí)網(wǎng)表。
    的頭像 發(fā)表于 11-28 16:02 ?2480次閱讀

    EDA邏輯綜合概念 邏輯綜合三個(gè)步驟

    邏輯綜合是電子設(shè)計(jì)自動(dòng)化(EDA)中的一個(gè)重要步驟,用于將高級(jí)語言或硬件描述語言(HDL)表示的電路描述轉(zhuǎn)換為門級(jí)電路的過程。
    發(fā)表于 06-19 17:06 ?2991次閱讀

    eda綜合有哪些類型 邏輯綜合的原理

     EDA(Electronic Design Automation,電子設(shè)計(jì)自動(dòng)化)綜合是指在集成電路設(shè)計(jì)過程中將高級(jí)描述語言(HDL)代碼轉(zhuǎn)換為邏輯網(wǎng)表的過程。
    發(fā)表于 06-26 14:05 ?1942次閱讀

    什么是邏輯綜合?邏輯綜合的流程有哪些?

    邏輯綜合是將RTL描述的電路轉(zhuǎn)換成門級(jí)描述的電路,將HDL語言描述的電路轉(zhuǎn)換為性能、面積和時(shí)序等因素約束下的門級(jí)電路網(wǎng)表。
    的頭像 發(fā)表于 09-15 15:22 ?4545次閱讀
    什么是<b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>?<b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>的流程有哪些?