0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

芯片設(shè)計之ASIC設(shè)計流程和邏輯綜合

倩倩 ? 來源:《IC設(shè)計與方法》 ? 作者:《IC設(shè)計與方法》 ? 2022-08-12 15:06 ? 次閱讀

1、ASIC設(shè)計流程

ASIC(專用集成電路)的設(shè)計如下,F(xiàn)ront-end部分是前端設(shè)計,Back-end部分是后端設(shè)計。

332cd184-19f6-11ed-ba43-dac502259ad0.png

圖片來源:學(xué)堂在線《IC設(shè)計與方法》

Funct.Spec代表設(shè)計需求,在具體設(shè)計需求提出后,編寫RTL代碼(Verilog代碼設(shè)計電路的一種設(shè)計類別);RTL代碼編譯完成,進(jìn)行Function.Simul,即仿真;仿真結(jié)束后,進(jìn)行邏輯綜合(Logic Synth.),將Verilog代碼轉(zhuǎn)化為電路,術(shù)語稱該電路為門級網(wǎng)單(Gate-Level Net.)。


邏輯綜合(Logic Synth.)過程需要約束(Stat. Wire Model)以產(chǎn)生規(guī)定條件下的電路。具體電路設(shè)計完成后,需進(jìn)行門級仿真(Gate-Lev.Sim),以檢查電路設(shè)計是否出現(xiàn)失誤。

門級網(wǎng)單確定后,進(jìn)入后端設(shè)計。首先是布局規(guī)劃(Floorplanning),擺放門級網(wǎng)單中的各個元器件位置。然后是布局和布線(Place&Route),將各個元器件連接。最后產(chǎn)生電路的版圖(Layout)。

在將電路的版圖加工制造前,為防止后端設(shè)計失誤,需要進(jìn)行驗證。驗證前需提取版圖的寄生參數(shù)(Parasitic Extrac.),寄生參數(shù)包括導(dǎo)線的寄生電容、寄生電感、寄生電阻,寄生參數(shù)會造成信號傳輸延時、失真、干擾。驗證中,將寄生參數(shù)反標(biāo)到門級網(wǎng)單上,再次做門級仿真(Gate-Lev.Sim)。最終的仿真結(jié)果沒有問題后,電路版圖可以用于加工制造。

2、邏輯綜合

芯片代碼仿真的下一步是邏輯綜合,邏輯綜合將Verilog代碼轉(zhuǎn)化為電路圖。邏輯綜合的目的是決定門級結(jié)構(gòu),尋求時序、面積、功耗的平衡。尋求平衡的方式有兩種:約束驅(qū)動和路徑驅(qū)動。

約束驅(qū)動是平衡面積和速度的方式。一個廠家(如TSMC)的一種工藝條件(如0.18um工藝)下,芯片的速度快和面積小相互制約,速度更快的芯片需要更大的面積,面積更小的芯片速度更慢。不同廠家的同種工藝面積和速度的制約程度不一定相同,有經(jīng)驗的設(shè)計人員可以選擇合適的廠家尋求最優(yōu)的面積和速度。

33628ae0-19f6-11ed-ba43-dac502259ad0.png

圖片來源:學(xué)堂在線《IC設(shè)計與方法》

路徑驅(qū)動是將電路中的元器件以最優(yōu)的方式連接。

3390a13c-19f6-11ed-ba43-dac502259ad0.png

圖片來源:學(xué)堂在線《IC設(shè)計與方法》

邏輯綜合過程可運用預(yù)先設(shè)計完成的邏輯單元,提高設(shè)計效率。邏輯單元包括標(biāo)準(zhǔn)單元和宏單元。標(biāo)準(zhǔn)單元的尺寸有一定的標(biāo)準(zhǔn),包括基本門電路、寄存器等。宏單元功能相對復(fù)雜,難以在固定高度內(nèi)安裝,包括RAM存儲器、ROM存儲器、數(shù)值運算單元等。

標(biāo)準(zhǔn)單元的名稱詳細(xì),如ARM UMC L180GⅡ 1.8V標(biāo)準(zhǔn)單元庫表示:由ARM公司(個人理解:設(shè)計公司)提供的,針對UMC(聯(lián)華電子公司,個人理解:制造公司)廠家的,針對邏輯工藝的,180nm(0.18um)的,GⅡ工藝的,1.8V的標(biāo)準(zhǔn)單元庫。

標(biāo)準(zhǔn)單元包括元器件數(shù)量多,ARM UMC L180GⅡ 1.8V標(biāo)準(zhǔn)單元庫包含124種元器件,數(shù)量為470個。

邏輯綜合過程還需進(jìn)行靜態(tài)時序分析。靜態(tài)時序分析的前提是觸發(fā)器使用同一時鐘,即全同步電路。靜態(tài)時序分析的準(zhǔn)則為:

時鐘周期>Clockto Q+穿越組合邏輯電路的最長延時+Set up

其中Clock to Q是時鐘沿出現(xiàn)到觸發(fā)器輸出信號的延時,Set up時間是下一級觸發(fā)器輸入信號穩(wěn)定建立的延時。

33c0792a-19f6-11ed-ba43-dac502259ad0.png

圖片來源:學(xué)堂在線《IC設(shè)計與方法》

當(dāng)靜態(tài)時序分析的準(zhǔn)則成立時,時序邏輯電路可以穩(wěn)定工作。

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 集成電路
    +關(guān)注

    關(guān)注

    5371

    文章

    11259

    瀏覽量

    359859
  • asic
    +關(guān)注

    關(guān)注

    34

    文章

    1178

    瀏覽量

    120153
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    4003

    瀏覽量

    133246

原文標(biāo)題:芯片設(shè)計相關(guān)介紹(23)——ASIC設(shè)計流程和邏輯綜合

文章出處:【微信號:行業(yè)學(xué)習(xí)與研究,微信公眾號:行業(yè)學(xué)習(xí)與研究】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    典型的基于RTL的ASIC設(shè)計流程分析

    FPGA的前端設(shè)計流程類似于ASIC,但后端不同。FPGA的后端部分與ASIC的主要區(qū)別在于FPGA的布局和布線。對于ASIC,place and route軟件決定IC的制造方式。
    的頭像 發(fā)表于 06-20 16:24 ?5171次閱讀
    典型的基于RTL的<b class='flag-5'>ASIC</b>設(shè)計<b class='flag-5'>流程</b>分析

    邏輯綜合流程和命令簡析

    綜合就是把Verilog、VHDL轉(zhuǎn)換成網(wǎng)表的過程。綜合按照是否考慮物理布局信息可分為邏輯綜合和物理綜合。
    的頭像 發(fā)表于 08-09 09:51 ?911次閱讀
    <b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>的<b class='flag-5'>流程</b>和命令簡析

    ASIC設(shè)計流程及其應(yīng)用

    主要介紹了ASIC設(shè)計的流程及各個階段所使用的軟件。
    發(fā)表于 06-16 11:01

    ASIC設(shè)計全流程入門資料

    ASIC設(shè)計全流程入門資料,包括軟件的使用。
    發(fā)表于 05-06 14:11

    ASIC有哪些設(shè)計流程

    參考中外文以及互聯(lián)網(wǎng)資料,寫一篇ASIC設(shè)計流程文章供大家參考,文中有不妥之處,還望批評指正,謝謝!
    發(fā)表于 07-23 09:46

    ASIC與FPGA的開發(fā)流程是怎樣的

    ASIC的設(shè)計流程是怎樣的?FPGA的開發(fā)流程又是怎樣的?
    發(fā)表于 11-01 07:08

    ASIC邏輯綜合及Synopsys Design Compi

    設(shè)計編譯器(Design Compiler)和設(shè)計分析器(Design Analyzer) Design Compiler(DC) 是Synopsys邏輯綜合工具的命令行接口,在
    發(fā)表于 11-19 13:32 ?58次下載

    ASIC與大型邏輯設(shè)計實習(xí)教程

    ASIC與大型邏輯設(shè)計實習(xí)課 AgendaCell Base IC DesignModelSimLibraryProjectVHDL Compiler & SimulationSimulation WindowsTutorialLab
    發(fā)表于 06-19 09:45 ?0次下載

    面向ASIC和FPGA設(shè)計的多點綜合技術(shù)

    面向ASIC和FPGA設(shè)計的多點綜合技術(shù) 隨著設(shè)計復(fù)雜性增加,傳統(tǒng)的綜合方法面臨越來越大的挑戰(zhàn)。為此,Synplicity公司開發(fā)了同時適用于FPGA或 ASIC設(shè)計的多點
    發(fā)表于 12-26 14:34 ?622次閱讀

    DC邏輯綜合

    芯片綜合的過程:芯片的規(guī)格說明,芯片設(shè)計的劃分,預(yù)布局,RTL 邏輯單元的綜合,各
    發(fā)表于 12-29 16:28 ?25次下載
    DC<b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>

    27張詳解ASIC芯片設(shè)計生產(chǎn)流程的PPT

    詳解ASIC芯片設(shè)計生產(chǎn)流程的PPT
    的頭像 發(fā)表于 07-16 15:37 ?1.1w次閱讀

    ASIC邏輯綜合及Synopsys Design Compiler 的使用資料說明

    本文檔的主要內(nèi)容詳細(xì)介紹的是ASIC邏輯綜合及Synopsys Design Compiler 的使用資料說明包括了:1、邏輯綜合基本概念
    發(fā)表于 10-23 08:00 ?5次下載
    <b class='flag-5'>ASIC</b><b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>及Synopsys  Design Compiler 的使用資料說明

    ASIC芯片設(shè)計開發(fā)流程

    ASIC芯片設(shè)計開發(fā)流程說明。
    發(fā)表于 04-07 09:18 ?64次下載
    <b class='flag-5'>ASIC</b><b class='flag-5'>芯片</b>設(shè)計開發(fā)<b class='flag-5'>流程</b>

    芯片設(shè)計邏輯綜合過程

    邏輯綜合操作(Compile design),根據(jù)芯片的復(fù)雜程度,邏輯綜合操作的時間可能是幾秒,也可能是半個月。如果設(shè)計環(huán)境和約束設(shè)置不當(dāng),
    的頭像 發(fā)表于 08-12 15:10 ?3774次閱讀

    什么是邏輯綜合?邏輯綜合流程有哪些?

    邏輯綜合是將RTL描述的電路轉(zhuǎn)換成門級描述的電路,將HDL語言描述的電路轉(zhuǎn)換為性能、面積和時序等因素約束下的門級電路網(wǎng)表。
    的頭像 發(fā)表于 09-15 15:22 ?4545次閱讀
    什么是<b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>?<b class='flag-5'>邏輯</b><b class='flag-5'>綜合</b>的<b class='flag-5'>流程</b>有哪些?