0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

ChatGPT能否幫助FPGA設(shè)計(jì)彌補(bǔ)能力的缺失呢?

FPGA技術(shù)江湖 ? 來源:OpenFPGA ? 作者:碎碎思 ? 2023-03-25 15:07 ? 次閱讀

科技即生產(chǎn)力,最近,OpenAI 發(fā)布了 ChatGPT,在各大論壇和許多網(wǎng)站上受到了廣泛關(guān)注,ChatGPT是由 OpenAI 提出的大型預(yù)訓(xùn)練語言模型,使用了許多深度學(xué)習(xí)技術(shù),可以生成文本內(nèi)容,也可以進(jìn)行文本分類、問答等任務(wù)。這么強(qiáng)大的功能,自然會有很多人想著測試它的能力,讓它設(shè)計(jì)生成一些“代碼”或者“文章”來了解他的能力,而我注意到很少有人用 Verilog 去試試他的能力,所以今天我們?nèi)ピ囈辉?,最后再看看它未來能?a target="_blank">FPGA領(lǐng)域帶來什么。

810a4bca-cadb-11ed-bfe3-dac502259ad0.png

ChatGPT簡介

FPGA工程師在“軟件”方面能力基本都是比較欠缺的,比如一些腳本等,在這方面ChatGPT能否幫助我們彌補(bǔ)這些能力的缺失?

首先我們先簡單測試一下GPT的HDL編碼能力

下面是GPT給的例程:

GPT BEGIN

以下是一個簡單的流水燈程序:

moduleshift_register(
inputclk,
inputreset,
outputreg[7:0]led
);

reg[7:0]shift_reg;

initialbegin
shift_reg=8'h01;
end

always@(posedgeclk)begin
if(reset)begin
shift_reg<=?8'h01;
????end?else?begin
????????shift_reg?<=?{shift_reg[6:0],?shift_reg[7]};
????end
end

assign?led?=?shift_reg;

endmodule

該模塊包含一個8位移位寄存器,通過時鐘來推進(jìn)并且每個周期將寄存器向左移動一位。模塊還包含一個復(fù)位信號,當(dāng)復(fù)位信號為高時,寄存器將重新置為初始狀態(tài),并從第二個LED燈開始閃爍。最后,將移位寄存器的輸出分配給LED燈。

GPT END

上面就是GPT生成的代碼,效果很好,基本功能實(shí)現(xiàn),但是上面代碼不能綜合,有點(diǎn)小BUG,比較簡單,大家自己可以試著看看有什么問題。

接下來我們測試一個復(fù)雜的代碼:

GPT BEGIN

以下是一個簡單的Verilog代碼實(shí)現(xiàn)HDB3編碼器和解碼器。代碼假定輸入信號是一個序列,而不是一個單獨(dú)的位。

modulehdb3_encoder(inputclk,inputrst,input[7:0]data_in,outputreg[7:0]tx_out);

reg[3:0]polarity;
reg[3:0]zero_count;

always@(posedgeclk)begin
if(rst)begin
tx_out<=?8'b00000000;
????????polarity?<=?4'b0000;
????????zero_count?<=?4'b0000;
????end?else?begin
????????if?(data_in?==?8'b00000000)?begin
????????????zero_count?<=?zero_count?+?1;
????????????if?(zero_count?==?4)?begin
????????????????if?(polarity?==?4'b0011)?begin??//?Positive?pulse
????????????????????tx_out?<=?8'b00000010;
????????????????????polarity?<=?4'b1100;
????????????????end?else?begin??//?Negative?pulse
????????????????????tx_out?<=?8'b00000001;
????????????????????polarity?<=?4'b0011;
????????????????end
????????????????zero_count?<=?0;
????????????end?else?begin
????????????????tx_out?<=?8'b00000000;
????????????end
????????end?else?begin
????????????if?(data_in[7]?==?1)?begin??//?Ones?count?as?positive
????????????????if?(polarity?==?4'b1100)?begin??//?Positive?pulse
????????????????????tx_out?<=?8'b00000010;
????????????????????polarity?<=?4'b0011;
????????????????end?else?begin??//?No?pulse
????????????????????tx_out?<=?data_in;
????????????????????polarity?<=?polarity?+?1;
????????????????end
????????????????zero_count?<=?0;
????????????end?else?begin??//?Zeros?alternate
????????????????if?(polarity?==?4'b0011)?begin??//?Negative?pulse
????????????????????tx_out?<=?8'b00000001;
????????????????????polarity?<=?4'b1100;
????????????????end?else?begin??//?No?pulse
????????????????????tx_out?<=?data_in;
????????????????????polarity?<=?polarity?+?1;
????????????????end
????????????????zero_count?<=?0;
????????????end
????????end
????end
end

endmodule


module?hdb3_decoder(
input?clk,
input?rst,
input?[7:0]?rx_in,
output?reg?[7:0]?data_out,
output?reg?valid
);

reg?[3:0]?polarity;
reg?[3:0]?zero_count;

always?@(posedge?clk)?begin
????if?(rst)?begin
????????data_out?<=?8'b00000000;
????????polarity?<=?4'b0000;
????????zero_count?<=?4'b0000;
????????valid?<=?0;
????end?else?begin
????????if?(rx_in?==?8'b00000000)?begin
????????????zero_count?<=?zero_count?+?1;
????????????if?(zero_count?==?4)?begin
????????????????if?(polarity?==?4'b0011)?begin??//?Positive?pulse
????????????????????data_out?<=?8'b00000000;
????????????????????valid?<=?1;
????????????????end?else?if?(polarity?==?4'b1100)?begin??//?Negative?pulse
????????????????????data_out?<=?8'b00000000;
????????????????????valid?<=?1;
????????????????end
????????????????polarity?<=?4'b0000;
????????????????zero_count?<=?0;
????????????end?else?begin
????????????????data_out?<=?8'b00000000;
????????????????valid?<=?0;
????????????end
????????end?else?begin
????????????if?(rx_in?==?8'b00000010)?begin??//?Positive?pulse
????????????????data_out?<=?8'b00000000;
????????????????valid?<=?1;
????????????????polarity?<=?4'b0011;
????????????????zero_count?<=?0;
????????????end?else?if?(rx_in?==?8'b00000001)?begin??//?Negative?pulse
????????????????data_out?<=?8'b00000000;
????????????????valid?<=?1;
????????????????polarity?<=?4'b1100;
????????????????zero_count?<=?0;
????????????end?else?begin
????????????????data_out?<=?rx_in;
????????????????polarity?<=?polarity?+?1;
????????????????if?(polarity?==?4'b1111)
????????????????????polarity?<=?4'b0000;
????????????????zero_count?<=?0;
????????????????valid?<=?1;
????????????end
????????end
????end
end

endmodule

GPT END

對于剛接觸這個行業(yè)的人,上面的代碼足夠給初學(xué)者提供一個代碼思路,順著這個思路進(jìn)行修改理解,很快就可以實(shí)現(xiàn)一個復(fù)雜一點(diǎn)的Verilog代碼。

我們在使用Vivado時候,TCL也是一個重要的腳本語言,需要我們熟練掌握,首先我們讓GPT給我們出一個無GUI創(chuàng)建工程的示例:

GPT BEGIN

以下是一個簡單的Vivado無GUI的TCL工程腳本示例:

#設(shè)置工程名和工作目錄
setproject_name"my_project"
setproject_dir"/path/to/project/dir"

#創(chuàng)建工程
create_project$project_name$project_dir-partxcku115-flvb2104-2-e-force

#添加源文件
add_files-norecurse/path/to/source/file1.v
add_files-norecurse/path/to/source/file2.v

#設(shè)置仿真模塊
set_propertysimulator_languageVerilog[current_project]
set_propertytop_modulemy_top_module[current_fileset]

#運(yùn)行綜合
synth_design

#運(yùn)行實(shí)現(xiàn)
implement_design

#導(dǎo)出比特文件
write_bitstream-force/path/to/bitstream_file.bit

這只是一個基本示例,實(shí)際的腳本可能需要更多的命令和參數(shù)來完成所需的操作。您可以參考Vivado的TCL命令參考手冊來學(xué)習(xí)更多使用方式。

GPT END

基本命令都是有的,每一個命令的中文注釋都有,真的方便極了。

此外,還嘗試了寫小作文,爬蟲等都是可以完成一個完整度較高的答案。

總的來說,ChatGPT 給我留下了深刻的印象,因?yàn)樗梢陨珊偷a,就像正在與辦公室里的另一位工程師交談一樣。不過它的功能也有限。也就是說,它可以在幾分鐘內(nèi)提供一個很好的開發(fā)起點(diǎn)和一個可行的框架。

由于GPT的中文訓(xùn)練量遠(yuǎn)遠(yuǎn)不及英文的,所以在這方面會有一些欠缺,隨著發(fā)展的越來越快,以下是本人覺得的發(fā)展方向:

首先,ChatGPT可以作為一個問答機(jī)器人,對設(shè)計(jì)人員的問題進(jìn)行回答。設(shè)計(jì)人員可以向ChatGPT提問關(guān)于FPGA的問題,比如FPGA的官方文檔、產(chǎn)品手冊、參考設(shè)計(jì)、IP核等內(nèi)容,ChatGPT會根據(jù)用戶提問的問題提供最佳答案,同時在一些FPGA大廠進(jìn)行訓(xùn)練后的情景肯定完美。這對于剛剛接觸FPGA的設(shè)計(jì)人員來說,肯定是一個非常好的學(xué)習(xí)工具。

其次,ChatGPT可以協(xié)助設(shè)計(jì)人員進(jìn)行設(shè)計(jì)驗(yàn)證和測試。在設(shè)計(jì)過程中,由于各種器件互相影響,可能會出現(xiàn)很多問題,如電性能等。ChatGPT可以根據(jù)設(shè)計(jì)人員的需求,給出適當(dāng)?shù)姆椒ê筒襟E,以協(xié)助驗(yàn)證功能、測試性能和診斷問題。此功能可以幫助設(shè)計(jì)人員提高設(shè)計(jì)質(zhì)量、加快設(shè)計(jì)速度,并避免出現(xiàn)故障。

第三,ChatGPT可以協(xié)助設(shè)計(jì)人員優(yōu)化設(shè)計(jì)流程。設(shè)計(jì)FPGA需要計(jì)算資源和時間,設(shè)計(jì)人員需要花費(fèi)大量時間來優(yōu)化設(shè)計(jì),例如電路拓?fù)?、時序約束等。ChatGPT可以根據(jù)經(jīng)驗(yàn)、文獻(xiàn)和實(shí)踐,為設(shè)計(jì)人員提供優(yōu)化建議,幫助提高設(shè)計(jì)效率和質(zhì)量。

最后,ChatGPT還可以協(xié)助設(shè)計(jì)人員進(jìn)行調(diào)試和維護(hù)工作。FPGA設(shè)計(jì)一旦完成,像其他電路一樣,也需要進(jìn)行維護(hù)和調(diào)試工作,比如在更新版本或漏洞修復(fù)時。ChatGPT可以為設(shè)計(jì)人員提供有關(guān)這些方面的幫助,從而使他們更好地處理問題。

對于我們個人來說,其實(shí)用GPT作為自己的個人助手,平時用來記一些筆記、知識點(diǎn),都是很完美的“容器”。

總之,ChatGPT作為一款人工智能聊天工具,將來能夠?yàn)镕PGA設(shè)計(jì)人員提供許多便利?;卮饐栴}、協(xié)助設(shè)計(jì)驗(yàn)證和測試、優(yōu)化設(shè)計(jì)流程、協(xié)助調(diào)試和維護(hù)。這一切都可以幫助設(shè)計(jì)人員提高設(shè)計(jì)質(zhì)量和效率,節(jié)省時間和成本。






審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA設(shè)計(jì)
    +關(guān)注

    關(guān)注

    9

    文章

    428

    瀏覽量

    26452
  • HDL
    HDL
    +關(guān)注

    關(guān)注

    8

    文章

    325

    瀏覽量

    47288
  • OpenAI
    +關(guān)注

    關(guān)注

    9

    文章

    1016

    瀏覽量

    6353
  • ChatGPT
    +關(guān)注

    關(guān)注

    28

    文章

    1536

    瀏覽量

    7270

原文標(biāo)題:在FPGA設(shè)計(jì)中怎么應(yīng)用ChatGPT?

文章出處:【微信號:HXSLH1010101010,微信公眾號:FPGA技術(shù)江湖】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    FPGA設(shè)計(jì)中怎么應(yīng)用ChatGPT?

    ,也可以進(jìn)行文本分類、問答等任務(wù)。這么強(qiáng)大的功能,自然會有很多人想著測試它的能力,讓它設(shè)計(jì)生成一些“代碼”或者“文章”來了解他的能力,而我注意到很少有人用 Verilog 去試試他的能力,所以今天我們?nèi)ピ囈辉?,最后再看看它未來?/div>
    的頭像 發(fā)表于 03-14 10:03 ?1782次閱讀

    探索ChatGPT的信息抽取能力

    通過人工檢查ChatGPT的回復(fù),發(fā)現(xiàn)ChatGPT傾向于識別比標(biāo)注的跨度更長的sapn,以更接近人類的偏好。因此,之前的硬匹配(hard-matching)策略可能不適合如ChatGPT的LLM,所以本文提出了一種軟匹配(so
    的頭像 發(fā)表于 06-01 14:45 ?1094次閱讀
    探索<b class='flag-5'>ChatGPT</b>的信息抽取<b class='flag-5'>能力</b>

    ChatGPT炒熱GPU,ASIC和FPGA能否分一杯羹?

    有著不小需求的應(yīng)用來說,ASIC和FPGA是否也能借上這股東風(fēng)? ? 不同硬件的成本對比 ? 在機(jī)器學(xué)習(xí)推理場景中,除了GPU外,還有一大通用AI硬件適合這一負(fù)載,那就是FPGA。與GPU一樣,在技術(shù)和算法還未成熟且仍在打磨階
    的頭像 發(fā)表于 02-22 09:23 ?5837次閱讀

    【國產(chǎn)FPGA+OMAPL138開發(fā)板體驗(yàn)】(原創(chuàng))6.FPGA連接ChatGPT 4

    OMAP-L138(定點(diǎn)/浮點(diǎn)DSP C674x+ARM9)+ FPGA處理器的開發(fā)板。 編寫一個用于FPGA訪問ChatGPT 4的程序代碼是一個相當(dāng)復(fù)雜的任務(wù),涉及到硬件設(shè)計(jì)、網(wǎng)絡(luò)通信、數(shù)據(jù)處理等多個
    發(fā)表于 02-14 21:58

    FPGA設(shè)計(jì)中是否可以應(yīng)用ChatGPT生成想要的程序

    當(dāng)下AI人工智能崛起,很多開發(fā)領(lǐng)域都可看到ChatGPT的身影,FPGA設(shè)計(jì)中,是否也可以用ChatGPT輔助設(shè)計(jì)?
    發(fā)表于 03-28 23:41

    新手求幫助FPGA開發(fā)版上能否裝有ADDA集成芯片?市面上有沒有這樣的開發(fā)板?

    同題,FPGA開發(fā)版上能否裝有ADDA集成芯片?市面上有沒有這樣的開發(fā)板?求大神幫助
    發(fā)表于 10-16 09:10

    PWM占空比太大和太小造成的波形缺失如何解決?

    `不知道各位能否提供下解決辦法,圖中缺失的是由于PWM占空比太大和太小造成的`
    發(fā)表于 01-18 12:03

    ARM在片上資源確定的情況下能否具備類似FPGA自由分配管腳功能的能力

    ARM在片上資源確定的情況下,能否具備類似FPGA自由分配管腳功能的能力?比如說集成UART的TX/RX可以分配到任意管腳,而并不是只能分配到指定的幾個管腳?謝謝
    發(fā)表于 08-01 14:17

    在IC設(shè)計(jì)/驗(yàn)證中怎么應(yīng)用ChatGPT?

    好用,那么對于工程師群體應(yīng)該幫助很大!按照官網(wǎng)的介紹,工程師們完全可以把所要實(shí)現(xiàn)的邏輯步驟用自然語言描述清楚,然后ChatGPT會翻譯出滿足相應(yīng)要求的代碼進(jìn)行實(shí)現(xiàn)。話不多說,如圖2直接上干貨進(jìn)行測試!圖
    發(fā)表于 02-21 15:16

    科技大廠競逐AIGC,中國的ChatGPT在哪?

    來看,國內(nèi)大模型開發(fā)廠商一是布局以大模型為基礎(chǔ)的通用對話、生成等能力;二是將其能力整合到搜索引擎、智能客服、智能音箱等產(chǎn)品線中;三是通過能力調(diào)用為中小企業(yè)滿足具體場景落地需求?!倍瓡燥w說。 隨著
    發(fā)表于 03-03 14:28

    請問變頻器能否彌補(bǔ)異步電機(jī)的滑差?

    變頻器能否彌補(bǔ)異步電機(jī)的滑差?
    發(fā)表于 11-20 07:27

    10分鐘教你如何ChatGPT最詳細(xì)注冊教程

    ChatGPT 以其強(qiáng)大的信息整合和對話能力驚艷了全球,在自然語言處理上面表現(xiàn)出了驚人的能力。這么強(qiáng)大的工具我們都想體驗(yàn)一下,那么? ChatGPT ?怎么用
    發(fā)表于 02-08 14:46 ?106次下載

    微信接入ChatGPT 利用ChatGPT的對話能力

      當(dāng)前ChatGPT是一個爆火的項(xiàng)目,近來瀏覽 Github 的時候,發(fā)現(xiàn)一個好玩的項(xiàng)目:微信接入ChatGPT,利用ChatGPT的對話能力,實(shí)現(xiàn)微信的自動聊天回復(fù)。   在
    發(fā)表于 02-13 10:31 ?3次下載
    微信接入<b class='flag-5'>ChatGPT</b> 利用<b class='flag-5'>ChatGPT</b>的對話<b class='flag-5'>能力</b>

    ChatGPT處理文本分段任務(wù)的能力如何

    作為一個兼具分析&生成能力的AI,ChatGPT最近一段時間熱度都非常高,它的確顛覆了很多人的認(rèn)知,它的出現(xiàn)一定程度上是強(qiáng)人工智能的出現(xiàn)。
    發(fā)表于 02-16 14:27 ?2247次閱讀

    ChatGPT軍事運(yùn)用的幾個誤區(qū)

    判斷人與機(jī)器的區(qū)別,最經(jīng)典的測試是圖靈測試。讓無關(guān)的第三人,從人與機(jī)器的對話中,能否準(zhǔn)確區(qū)分于人與機(jī)器。如果把這一測試應(yīng)于ChatGPT與人類之間,或許能夠騙過第三人,把機(jī)器看作人??梢?,ChatGPT在自然語言處理方面的杰出
    的頭像 發(fā)表于 05-17 09:44 ?711次閱讀