0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

set_input_delay中-add_delay的作用簡析

傅里葉的貓 ? 來源:傅里葉的貓 ? 2023-04-13 09:07 ? 次閱讀

在設(shè)置input_delay時,我們經(jīng)常會使用下面的方式:

set_input_delay-clockclk-min2[get_portsdata_in]
set_input_delay-clockclk-max4[get_portsdata_in]

但有時也會在后面增加一個-add_delay的參數(shù)

set_input_delay-clockclk-max2.1[get_portsdata_in]
set_input_delay-clockclk-max1.9[get_portsdata_in]-clock_fall-add_delay
set_input_delay-clockclk-min0.9[get_portsdata_in]
set_input_delay-clockclk-min1.1[get_portsdata_in]-clock_fall-add_delay

在默認情況下,一個port只需要一個min和max的dealy值,如果我們設(shè)置兩次,那么第二次設(shè)置的值會覆蓋第一次的值:下面的第一行就無效了。

set_input_delay-clockclk-max2.1[get_portsdata_in]
set_input_delay-clockclk-max2.5[get_portsdata_in]

但如果是加了-add_delay參數(shù),就可以多個約束同時存在:

set_input_delay-clockclk-max2.1[get_portsdata_in]
set_input_delay-clockclk-max2.5[get_portsdata_in]-add_delay

但其實,第一行也是無效的,因此2.5比2.1要大,如果滿足2.5了,那一定滿足2.1。

因此,-add_delay參數(shù)一般都是用于雙沿采樣的場景:

set_input_delay-clockclk-max2.1[get_portsdata_in]
set_input_delay-clockclk-max1.9[get_portsdata_in]-clock_fall-add_delay

如果不增加-add_delay參數(shù),那么第二條會覆蓋第一條約束,那么上升沿的約束就沒有了。

在UG903中,也有下面的描述:

AddDelayInputDelayCommandOption
The-add_delayoptionmustbeusedif:
?Amax(ormin)inputdelayconstraintexists,and
?Youwanttospecifyasecondmax(ormin)inputdelayconstraintonthesameport.
Thisoptioniscommonlyusedtoconstrainaninputportrelativetomorethanoneclock
edge,as,forexample,DDRinterface





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • DDR
    DDR
    +關(guān)注

    關(guān)注

    11

    文章

    701

    瀏覽量

    65107
  • ADD
    ADD
    +關(guān)注

    關(guān)注

    1

    文章

    20

    瀏覽量

    9386

原文標題:set_input_delay中-add_delay的作用

文章出處:【微信號:傅里葉的貓,微信公眾號:傅里葉的貓】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    FPGA的IO約束如何使用

    ??set_input_delay屬于時序約束的IO約束,我之前的時序約束教程,有一篇關(guān)于set_input_delay的文章,但里面寫的并不是很詳細,今天我們就來詳細分析一下,這
    發(fā)表于 09-06 09:22 ?1890次閱讀

    Vivado IP核心約束錯誤的解決辦法?

    [get_clocks FT_CLK] -min -add_delay 1.000 [get_ports {FT_PDB }] set_input_delay -clock [get_clocks
    發(fā)表于 04-27 09:11

    保持輸入雙倍數(shù)據(jù)速率的時間錯誤的解決辦法?

    vi_clk150Mhz]set_input_delay -clock [get_clocks vi_clk150Mhz] -clock_fall -min -add_delay 0.500 [get_ports DE
    發(fā)表于 05-01 14:42

    IDDR LVDS25時序違規(guī)如何改善?

    [get_clocks clkin_adc_a] -clock_fall -max -add_delay 0.3 [get_ports {datain_adc_a_p }] set_input_delay -clock
    發(fā)表于 08-06 06:07

    input_delay應(yīng)該設(shè)置為多少?

    1、如下圖所示,當CLK1為100MHz時,約束set_input_delay -clocks CLK1 -max 5sig_a,如果CLK1變成50MHz,需要保證的約束效果不變,此時
    發(fā)表于 07-22 07:11

    FPGA設(shè)計約束技巧之XDC約束之I/O篇 (上)

    從UCF到XDC的轉(zhuǎn)換過程,最具挑戰(zhàn)的可以說便是本文將要討論的I/O約束了。 I/O 約束的語法 XDC 可以用于 I/O 約束的命令包括 set_input_delay / set_ou
    發(fā)表于 11-17 18:54 ?1.3w次閱讀
    FPGA設(shè)計約束技巧之XDC約束之I/O篇 (上)

    set_max_delay被覆蓋的解決辦法

    XDC描述的時序約束是有優(yōu)先級的,尤其是涉及到時序例外的約束,如set_clock_groups、set_false_path、set_max_delayset_multicycle
    的頭像 發(fā)表于 09-07 10:53 ?9728次閱讀
    <b class='flag-5'>set_max_delay</b>被覆蓋的解決辦法

    怎么解決有關(guān)于data保存時間的時序錯誤?

    -min -add_delay -1.300 [get_ports rxData_n] set_input_delay -clock [get_clocks rxDco_p] -clock_fall
    的頭像 發(fā)表于 04-10 09:43 ?1771次閱讀
    怎么解決有關(guān)于data保存時間的時序錯誤?

    STM32上進行Delay延時的方法

    1、使用SYStick專門的延時。void delay_us(uint32_t us){ static uint32_t delay_flag = 0; delay_flag = 1; /*
    發(fā)表于 12-24 19:39 ?2次下載
    STM32上進行<b class='flag-5'>Delay</b>延時的方法

    Vivado如何做set_input_delay約束

    在STA,要分析上游器件和FPGA之間的時序關(guān)系就得指定input delay。
    的頭像 發(fā)表于 02-19 19:32 ?3105次閱讀
    Vivado<b class='flag-5'>中</b>如何做<b class='flag-5'>set_input_delay</b>約束

    Vivado如何做set_input_delay約束

    在STA,要分析上游器件和FPGA之間的時序關(guān)系就得指定input delay。
    的頭像 發(fā)表于 02-16 16:21 ?3322次閱讀
    Vivado<b class='flag-5'>中</b>如何做<b class='flag-5'>set_input_delay</b>約束

    詳解FPGA的時序input delay約束

    本文章探討一下FPGA的時序input delay約束,本文章內(nèi)容,來源于配置的明德?lián)P時序約束專題課視頻。
    發(fā)表于 05-11 10:07 ?4071次閱讀
    詳解FPGA的時序<b class='flag-5'>input</b> <b class='flag-5'>delay</b>約束

    FPGA的時序input delay約束

    本文章探討一下FPGA的時序input delay約束,本文章內(nèi)容,來源于明德?lián)P時序約束專題課視頻。
    的頭像 發(fā)表于 07-25 15:37 ?2914次閱讀
    FPGA的時序<b class='flag-5'>input</b> <b class='flag-5'>delay</b>約束

    set_output_delay的本質(zhì)是什么?淺談set_ouput_delay時序

    set_output_delay是對模塊output信號在模塊外部延遲的約束,本質(zhì)上EDA工具會根據(jù)約束調(diào)整內(nèi)部器件(UFF0)的類型,擺放位置以及組合邏輯(C1)以滿足約束要求,即EDA工具保證模塊DUA的UFF0的Tclk2q+Tc1延時能夠滿足約束要求。
    的頭像 發(fā)表于 08-12 09:48 ?1670次閱讀
    <b class='flag-5'>set_output_delay</b>的本質(zhì)是什么?淺談<b class='flag-5'>set_ouput_delay</b>時序

    詳細講解SDC語法set_input_delayset_output_delay

    在數(shù)字集成電路設(shè)計,Synopsys Design Constraints(SDC)是一種重要的約束語言,用于指導綜合、布局布線等后續(xù)流程。
    的頭像 發(fā)表于 05-06 14:15 ?2160次閱讀