0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

分享一些Verdi實(shí)用技巧

sanyue7758 ? 來(lái)源:數(shù)字ICer ? 2023-06-09 11:13 ? 次閱讀

Bus Operations總線操作

一個(gè)多比特的bus拆分成幾個(gè)位寬較小的bus:

Signal -> Bus Operations -> Expand as Sub-bus

4f4b2f68-05e7-11ee-962d-dac502259ad0.png

例如將128bit分成32bit:

4f5a27ca-05e7-11ee-962d-dac502259ad0.png

結(jié)果:

4f67d4e2-05e7-11ee-962d-dac502259ad0.png

Logical Operation邏輯運(yùn)算

可以通過(guò)對(duì)現(xiàn)有信號(hào)執(zhí)行的邏輯操作創(chuàng)建新信號(hào),或者搜索信號(hào)值。

Signal -> Logical Operation

4f748bd8-05e7-11ee-962d-dac502259ad0.png

邏輯操作

兩信號(hào)進(jìn)行與運(yùn)算,也可以進(jìn)行其他運(yùn)算:

4f803384-05e7-11ee-962d-dac502259ad0.png

相與之后得出新信號(hào):

4f8a45ea-05e7-11ee-962d-dac502259ad0.png

數(shù)值搜索

輸入搜索的值,點(diǎn)擊create,該值位置會(huì)拉高.

4f95820c-05e7-11ee-962d-dac502259ad0.png

4fa0d94a-05e7-11ee-962d-dac502259ad0.png

Set SearchValue數(shù)值搜索、上升沿和下降沿搜索

進(jìn)行數(shù)值搜索、上升沿和下降沿搜索

4fa87740-05e7-11ee-962d-dac502259ad0.png

選中信號(hào),按n向后搜索,N向前搜索;

數(shù)值搜索:

4fb937b0-05e7-11ee-962d-dac502259ad0.png

上下沿搜索:

4fc38f62-05e7-11ee-962d-dac502259ad0.png

自動(dòng)計(jì)數(shù)

方法一:Grid Options網(wǎng)格計(jì)數(shù)

可以指定在波形窗中繪制網(wǎng)格線。選項(xiàng)包括Rising Edge, Falling Edge和 Both Edges。

View -> Grid Options

4fd167b8-05e7-11ee-962d-dac502259ad0.png

選中g(shù)rid和grid counter with start number:

4fe14d86-05e7-11ee-962d-dac502259ad0.png

如圖:信號(hào)下方出現(xiàn)了數(shù)字,可以用于計(jì)數(shù)。

4fec69b4-05e7-11ee-962d-dac502259ad0.png ? ? ?

方法二:自動(dòng)計(jì)數(shù)器

右擊PCLK信號(hào),選擇Add Counter Signal by,選擇上升沿、下降沿、任意沿中的一個(gè)。

4ffbd688-05e7-11ee-962d-dac502259ad0.png5013a7fe-05e7-11ee-962d-dac502259ad0.png

Signal Event Report統(tǒng)計(jì)

統(tǒng)計(jì)出某個(gè)信號(hào)在特定時(shí)間段內(nèi)的跳變的次數(shù)。

View -> Signal Event Report

501cc096-05e7-11ee-962d-dac502259ad0.png

Rising:指的是指定Marker時(shí)間段內(nèi)上升沿?cái)?shù);

Falling:指的是指定Marker時(shí)間段內(nèi)下降沿?cái)?shù);

50288214-05e7-11ee-962d-dac502259ad0.png

備注:利用Logical Operation和Signal Event Report可以統(tǒng)計(jì)非連續(xù)有效信號(hào)

Waveform Compare比較波形:

nWave提供了一種綜合比較自動(dòng)比較不同結(jié)果的能力。在比較完成后,nWave以圖形方式顯示波形窗格中的任何不匹配,然后可以通過(guò)每個(gè)不匹配來(lái)分析差異。

Tools -> Waveform Compare

50393e38-05e7-11ee-962d-dac502259ad0.png

50475f9a-05e7-11ee-962d-dac502259ad0.png

Reverse回退仿真

makefile中加上-lca -kdb -debug_access+all+reverse

5051d420-05e7-11ee-962d-dac502259ad0.png

運(yùn)行 ./simv –verdi &啟動(dòng)verdi之后,需要打開(kāi)verdi的回退仿真功能:

tools->Preferences中設(shè)置

50641220-05e7-11ee-962d-dac502259ad0.png

506ec940-05e7-11ee-962d-dac502259ad0.png

設(shè)置幾個(gè)斷點(diǎn);在verdi的console中,輸入run,啟動(dòng)仿真;

507a36a4-05e7-11ee-962d-dac502259ad0.png

點(diǎn)擊run/continuereverse回退仿真

50903634-05e7-11ee-962d-dac502259ad0.png

509d2fec-05e7-11ee-962d-dac502259ad0.png

此時(shí),執(zhí)行的光標(biāo),回到了斷點(diǎn)代碼的前一行。此時(shí)仿真的狀態(tài)就回到了執(zhí)行上一條指令的狀態(tài)。

因此可以利用reverse功能,實(shí)現(xiàn)回退式仿真。這樣當(dāng)仿真不小心過(guò)了我們的設(shè)定點(diǎn),可以使用reverse功能,回退到這個(gè)點(diǎn)。從而避免重新啟動(dòng)仿真。





審核編輯:劉清

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場(chǎng)。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問(wèn)題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 計(jì)數(shù)器
    +關(guān)注

    關(guān)注

    32

    文章

    2248

    瀏覽量

    94187
  • Bus總線
    +關(guān)注

    關(guān)注

    1

    文章

    6

    瀏覽量

    7953

原文標(biāo)題:Verdi實(shí)用技巧

文章出處:【微信號(hào):處芯積律,微信公眾號(hào):處芯積律】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    請(qǐng)教VCS和verdi怎么聯(lián)合使用

    最近要用到VCS仿真后生成FSDB文件,然后在Verdi中進(jìn)行自動(dòng)偵錯(cuò),請(qǐng)問(wèn)我怎么安裝Verdi這個(gè)軟件以及如何啟動(dòng)license,并且怎么寫testbench文件才可以產(chǎn)生FSDB文件。請(qǐng)懂得人給我支支招,我也是剛開(kāi)始學(xué)習(xí)這個(gè)軟件的使用。
    發(fā)表于 01-22 14:53

    Verdi工具怎么安裝?如何破解?

    本文介紹Verdi工具的安裝及破解過(guò)程
    發(fā)表于 06-21 07:15

    VCS+Verdi如何安裝?怎么破解?

    VCS+Verdi如何安裝?怎么破解?
    發(fā)表于 06-21 06:11

    講解位操作在單片機(jī)開(kāi)發(fā)中的一些實(shí)用技巧

    :六種位操作)下面我們想著重講解位操作在單片機(jī)開(kāi)發(fā)中的一些實(shí)用技巧。1,在不改變其他位的值的狀況下,對(duì)某幾個(gè)位進(jìn)行設(shè)值。這個(gè)場(chǎng)景在單片機(jī)開(kāi)發(fā)中經(jīng)常使用,方法就是先對(duì)需要設(shè)置的位用&操作符進(jìn)行清零操作,然后用|操作符設(shè)值。比如我要改變GPIOA的狀態(tài),可以先對(duì)寄存器的值進(jìn)行
    發(fā)表于 01-24 08:18

    玩轉(zhuǎn)iPhone:你可能不知道的iPhone實(shí)用技巧

    玩轉(zhuǎn)iPhone:你可能不知道的iPhone實(shí)用技巧 玩iPhone有段時(shí)間了吧,怎么才能讓iPhone更順手呢?在這里你可以看到一些最新的iPhone小技巧
    發(fā)表于 04-07 09:22 ?302次閱讀

    一些簡(jiǎn)單趣味小電子制作教程

    一些簡(jiǎn)單趣味小電子制作教程
    發(fā)表于 09-26 14:05 ?27次下載

    get與post的請(qǐng)求一些區(qū)別

    今天再次看到這個(gè)問(wèn)題,我也有了一些新的理解和感觸,臨時(shí)回顧了下 get 與 post 的請(qǐng)求的一些區(qū)別。
    的頭像 發(fā)表于 09-07 10:00 ?1338次閱讀

    INCA的一些用法

    INCA的一些用法
    的頭像 發(fā)表于 11-10 15:32 ?8282次閱讀

    分享《verdi用法小結(jié)》的pdf

    分享Verdi用法小結(jié)的pdf文檔
    的頭像 發(fā)表于 02-18 20:21 ?1112次閱讀
    分享《<b class='flag-5'>verdi</b>用法小結(jié)》的pdf

    Verdi使用技巧(二)

    篇文章IC君跟大家分享了Verdi使用技巧——連續(xù)有效信號(hào)量測(cè)方法。 有不少網(wǎng)友在文章后留言或者微信給我留言,提供了一些其它方法。 不得不說(shuō)廣大人民群眾的智慧才是無(wú)窮無(wú)盡的,大家
    的頭像 發(fā)表于 04-25 14:42 ?3574次閱讀
    <b class='flag-5'>Verdi</b>使用技巧(二)

    全網(wǎng)最實(shí)用的Verdi教程1

    過(guò)程中,常常用來(lái)調(diào)試(debug)一些錯(cuò)誤的情況。Verdi既可以閱讀調(diào)試代碼,又可以查看波形,包括數(shù)字設(shè)計(jì)的波形和模擬設(shè)計(jì)的波形。
    的頭像 發(fā)表于 05-05 14:49 ?1.6w次閱讀
    全網(wǎng)最實(shí)用的<b class='flag-5'>Verdi</b>教程1

    全網(wǎng)最實(shí)用的Verdi教程2

    過(guò)程中,常常用來(lái)調(diào)試(debug)一些錯(cuò)誤的情況。Verdi既可以閱讀調(diào)試代碼,又可以查看波形,包括數(shù)字設(shè)計(jì)的波形和模擬設(shè)計(jì)的波形。
    的頭像 發(fā)表于 05-05 14:53 ?7770次閱讀
    全網(wǎng)最實(shí)用的<b class='flag-5'>Verdi</b>教程2

    全網(wǎng)最實(shí)用的Verdi教程3

    過(guò)程中,常常用來(lái)調(diào)試(debug)一些錯(cuò)誤的情況。Verdi既可以閱讀調(diào)試代碼,又可以查看波形,包括數(shù)字設(shè)計(jì)的波形和模擬設(shè)計(jì)的波形。
    的頭像 發(fā)表于 05-05 14:53 ?5377次閱讀
    全網(wǎng)最實(shí)用的<b class='flag-5'>Verdi</b>教程3

    Verdi環(huán)境配置、生成波形的方法

    Verdi個(gè)功能強(qiáng)大的debug工具,可以配合不同的仿真軟件進(jìn)行debug,很多企業(yè)常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式進(jìn)行代碼的仿真與檢
    的頭像 發(fā)表于 05-29 09:48 ?3325次閱讀
    <b class='flag-5'>Verdi</b>環(huán)境配置、生成波形的方法

    分享一些SystemVerilog的coding guideline

    本文分享一些SystemVerilog的coding guideline。
    的頭像 發(fā)表于 11-22 09:17 ?642次閱讀
    分享<b class='flag-5'>一些</b>SystemVerilog的coding  guideline