0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

怎么解決verilog和system verilog語法亮亮的問題呢?

冬至子 ? 來源:處芯積律 ? 作者:處芯積律 ? 2023-07-26 17:37 ? 次閱讀

在創(chuàng)建一個linux系統(tǒng)的時(shí)候,安裝完gvim,系統(tǒng)默認(rèn)的gvim的模式是白色背景,黑色字體,無語法亮亮。打開一個python腳本大概是下面這種效果。

image.png

作為講究的ICer,怎么能受得了這種枯燥乏味的工作環(huán)境呢?

下面我們來設(shè)置gvim的行號,背景,字體信息

image.png

將上述設(shè)置在 /etc/vimrc 中,保存,再打開剛才的python文件。

image.png

現(xiàn)在環(huán)境有趣多了。ICer工作中,少不了用gvim編輯 verilog 和 system verilog 代碼。

下面我們打開一個system verilog的文件試試。

image.png

說好的亮亮呢?繼續(xù)研究怎么解決verilog 和system verilog語法亮亮的問題呢?以下是實(shí)現(xiàn) verilog和system verilog 語法亮亮的步驟。

第一步從下面git中獲取 verilog_systemverilog.vim

第二步,在自己的home目錄下創(chuàng)建 .vim 隱藏文件夾

第三步,在.vim 隱藏文件夾下創(chuàng)建下面兩個文件夾

ftdetect
syntax

第四步,在 ftdetect文件夾下創(chuàng)建文件sv.vim,sv.vim 里面內(nèi)容是

au BufRead,BufNewFile *.sv set filetype=systemverilog

第五步,將verilog_systemverilog.vim 拷貝到syntax下改成名字systemverilog.vim

第六步,在/etc/vimrc 中添加下面兩行

filetype on 
filetype indent on

我們來看看效果

image.png

非常完美,這gvim的背景和字體很符合ICer的工作需求。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • Linux系統(tǒng)
    +關(guān)注

    關(guān)注

    4

    文章

    588

    瀏覽量

    27266
  • python
    +關(guān)注

    關(guān)注

    54

    文章

    4758

    瀏覽量

    84289
  • Gvim
    +關(guān)注

    關(guān)注

    0

    文章

    8

    瀏覽量

    2949
收藏 人收藏

    評論

    相關(guān)推薦

    Verilog HDL的基本語法

    Verilog HDL的基本語法 .pdf
    發(fā)表于 08-15 15:06

    Verilog基礎(chǔ)語法

    Verilog基礎(chǔ)語法
    發(fā)表于 05-27 08:00

    verilog是什么?基本語法有哪些?

    verilog是什么?基本語法有哪些?
    發(fā)表于 09-18 07:41

    Verilog語法下載

    Synthesizable Verilog is a subset of the full Verilog HDL [9] that lies within the domain
    發(fā)表于 03-03 15:46 ?0次下載

    VHDL,Verilog,System verilog比較

    本文簡單討論并總結(jié)了VHDL、Verilog,System verilog 這三中語言的各自特點(diǎn)和區(qū)別 As the number of enhancements
    發(fā)表于 01-17 11:32 ?0次下載

    可綜合的Verilog語法和語義

    可綜合的Verilog語法和語義(劍橋大學(xué),影印):第七版
    發(fā)表于 05-21 14:50 ?27次下載
    可綜合的<b class='flag-5'>Verilog</b><b class='flag-5'>語法</b>和語義

    Verilog_HDL的基本語法詳解(夏宇聞版)

    Verilog_HDL的基本語法詳解(夏宇聞版):Verilog HDL是一種用于數(shù)字邏輯電路設(shè)計(jì)的語言。用Verilog HDL描述的電路設(shè)計(jì)就是該電路的
    發(fā)表于 10-08 14:48 ?0次下載
    <b class='flag-5'>Verilog</b>_HDL的基本<b class='flag-5'>語法</b>詳解(夏宇聞版)

    Verilog HDL實(shí)驗(yàn)練習(xí)與語法手冊

    Verilog HDL實(shí)驗(yàn)練習(xí)與語法手冊-高教
    發(fā)表于 05-11 11:30 ?0次下載

    基于System Verilog中的隨機(jī)化激勵

    基于System Verilog中的隨機(jī)化激勵
    發(fā)表于 10-31 09:25 ?9次下載
    基于<b class='flag-5'>System</b> <b class='flag-5'>Verilog</b>中的隨機(jī)化激勵

    常見的Verilog行為級描述語法

    常見的Verilog描述語句與對應(yīng)的邏輯關(guān)系;熟悉語法與邏輯之間的關(guān)系
    的頭像 發(fā)表于 09-15 08:18 ?1w次閱讀
    常見的<b class='flag-5'>Verilog</b>行為級描述<b class='flag-5'>語法</b>

    Verilog語法基礎(chǔ)

    Verilog HDL是一種用于數(shù)字系統(tǒng)設(shè)計(jì)的語言。用Verilog HDL描述的電路設(shè)計(jì)就是該電路的Verilog HDL模型也稱為模塊。Verilog HDL既是一種行為描述的語言
    的頭像 發(fā)表于 03-08 14:29 ?1.3w次閱讀

    通過實(shí)例設(shè)計(jì)來加深Verilog描述語法理解

    綜合,可以用這個語法來描述什么邏輯功能電路? 其實(shí)Xilinx已經(jīng)貼心地準(zhǔn)備好了答案,還給你準(zhǔn)備了一堆參考實(shí)例。 二. Verilog行為級描述 資料名稱“Vivado Design Suite
    的頭像 發(fā)表于 01-02 09:45 ?1506次閱讀
    通過實(shí)例設(shè)計(jì)來加深<b class='flag-5'>Verilog</b>描述<b class='flag-5'>語法</b>理解

    Verilog語法進(jìn)階

    Verilog語法進(jìn)階說明。
    發(fā)表于 05-06 16:14 ?30次下載

    Verilog HDL入門教程-Verilog HDL的基本語法

    Verilog HDL入門教程-Verilog HDL的基本語法
    發(fā)表于 01-07 09:23 ?174次下載

    淺談System Verilog的DPI機(jī)制

    System Verilog(SV)把其他編程語言統(tǒng)一成為外語,F(xiàn)oreign Programming Language(FPL)。
    的頭像 發(fā)表于 05-23 15:39 ?1825次閱讀
    淺談<b class='flag-5'>System</b> <b class='flag-5'>Verilog</b>的DPI機(jī)制