0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

vivado軟件和modelsim軟件的安裝方法

CHANBAEK ? 來源:FPGA入門到精通 ? 作者:木然 ? 2023-08-07 15:48 ? 次閱讀

本文詳細(xì)介紹了vivado軟件和modelsim軟件的安裝,以及vivado中配置modelsim仿真設(shè)置,每一步都加文字說明和圖片。

一、軟件安裝包下載

1、vivado

vivado版本很多,目前最新的已更新到vivado2022.2,版本越高,安裝包越大,目前vivado2022.2已達(dá)89.4GB大小。

網(wǎng)盤下載:vivado 2017.4 、vivado 2019.1、vivado 2021.2

2、Modelsim

Modelsim 有幾種常見的版本:SE(System Edition)、 PE(Personal Edition)和 OEM(Orignal EquipmentManufactuce,即原始設(shè)備制造商),其中 SE 是最高級的版本,而集成在 Altera、 Xilinx 以及 LatticeFPGA廠商設(shè)計工具中的均是 OEM 版本。

二、安裝步驟

1、vivado安裝

(1)點擊安裝包文件夾里的“xsetup”

圖片

(2)彈出下面的提示,選擇“continue”

圖片

(3)點擊“next”即可

圖片

圖片

(4)選擇安裝的芯片型號,對于不需要的芯片型號,可以不選,降低安裝空間占用

圖片

(5)選中“I agree”,點擊“next”

圖片

(6)選擇“安裝目錄”,點擊“next”

圖片

(7)等待安裝完畢,即可。

(8)license加載,打開“Manage xilinx license s”,點擊“Load license”,點擊“Copy License”,選擇加載license文件即可

圖片

2、modelsim安裝

(1)點擊“modesim.exe”文件

圖片

(2)一直點擊下一步安裝即可

3、vivado中配置modesim仿真設(shè)置

(1)在Vivado中生成lib

打開Vivado軟件,點擊Tools-》Compile Simulation Libraries,生成庫函數(shù)

圖片

生成庫函數(shù)設(shè)置

Simulator選擇ModelSim Simulator

Language,Library,F(xiàn)amily選擇全部(All)

Compiled library location:編譯庫目錄,可以選擇在ModelSim的安裝路徑下,新建一個Vivado_lib的文件夾

Simulator executable path:選擇ModelSim的啟動路徑

圖片

點擊Compile,即可開始生成庫文件,等待編譯完畢。

(2)在Vivado中添加ModelSim調(diào)用設(shè)置

Vivado --> Tools --> setting,分別設(shè)置仿真軟件和仿真庫

圖片

(3)在ModelSim中添加Vivado仿真庫

a、在vivado仿真庫目錄下找到modelsim.ini文件,如下圖所示的庫路徑

圖片

b、在modelsim安裝路徑下有一個modelsim.ini文件,取消只讀屬性,然后用記事本將其打開,找到“modelsim_lib = $MODEL_TECH/../modelsim_lib”處準(zhǔn)備添加ip庫路徑。

圖片

(4) 打開ModelSim,就會Vivado的lib全部添加到ModelSim中添加進(jìn)來了。

圖片

以上內(nèi)容是vivado和modelsim的安裝說明,希望各位讀者能有所收獲。

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 仿真
    +關(guān)注

    關(guān)注

    50

    文章

    3995

    瀏覽量

    133228
  • 軟件
    +關(guān)注

    關(guān)注

    69

    文章

    4614

    瀏覽量

    86992
  • ModelSim
    +關(guān)注

    關(guān)注

    5

    文章

    172

    瀏覽量

    47061
  • Vivado
    +關(guān)注

    關(guān)注

    19

    文章

    799

    瀏覽量

    66135
收藏 人收藏

    評論

    相關(guān)推薦

    如何用Python實現(xiàn)VivadoModelSim仿真自動化?

    我們在Windows系統(tǒng)下使用Vivado的默認(rèn)設(shè)置調(diào)用第三方仿真器比如ModelSim進(jìn)行仿真時,一開始仿真軟件都會默認(rèn)在波形界面中加載testbench頂層的信號波形
    的頭像 發(fā)表于 09-13 09:23 ?1138次閱讀
    如何用Python實現(xiàn)<b class='flag-5'>Vivado</b>和<b class='flag-5'>ModelSim</b>仿真自動化?

    怎樣單獨使用modelsim仿真xilinx呢?

    直接在modelsim軟件內(nèi)執(zhí)行.do文件進(jìn)行仿真,不通過vivado調(diào)用modelsim,vivado僅用于生成IP核。
    的頭像 發(fā)表于 12-04 18:26 ?1205次閱讀
    怎樣單獨使用<b class='flag-5'>modelsim</b>仿真xilinx呢?

    vivado軟件安裝教程以及l(fā)icense

    vivado軟件安裝教程以及l(fā)icense
    發(fā)表于 12-21 19:50

    尋求 modelsim軟件

    尋求modelsim軟件10.1a的64位安裝軟件,急求,但是自己找不到,希望大俠們給予幫助,謝謝!
    發(fā)表于 12-04 21:48

    quartus軟件安裝

    Quartus II 12.1和Modelsim altera 10.1b安裝和調(diào)用1、首先從官網(wǎng)下載兩個軟件:www.altera.com.cn 點擊—>設(shè)計工具與服務(wù)—>設(shè)計軟件
    發(fā)表于 05-19 14:19

    關(guān)于Vivadomodelsim仿真常見問題處理

    本帖最后由 六月飛魚 于 2018-10-16 19:58 編輯 1、vivado里面聯(lián)合modelsim操作方法分如下三步:a、第一步在modelsim
    發(fā)表于 10-16 19:43

    ModelSim軟件的詳細(xì)使用方法是什么?

    ModelSim軟件有哪些主要特點?ModelSim軟件的詳細(xì)使用方法是什么?
    發(fā)表于 06-21 07:35

    NI maDAQ套裝軟件安裝方法及教程分享

    本文主要介紹了NI maDAQ套裝軟件安裝方法及教程分享.
    發(fā)表于 06-22 08:00 ?3次下載
    NI maDAQ套裝<b class='flag-5'>軟件</b><b class='flag-5'>安裝</b><b class='flag-5'>方法</b>及教程分享

    使用Vivado 2017調(diào)用Modelsim的詳細(xì)步驟

    本次使用的Vivado版本為Vivado_2017.3版本,從Xilinx官方文檔可以了解到,該版本的Vivado只支持Modelsim10.6或者更高版本,但是筆者只有
    發(fā)表于 03-30 09:51 ?1.7w次閱讀
    使用<b class='flag-5'>Vivado</b> 2017調(diào)用<b class='flag-5'>Modelsim</b>的詳細(xì)步驟

    HDL仿真軟件Modelsim安裝教程資料免費下載

    本文檔的主要內(nèi)容詳細(xì)介紹的是HDL仿真軟件Modelsim安裝教程資料免費下載。安裝教程很詳細(xì),大家一步步來安裝基本都能
    發(fā)表于 05-28 08:00 ?7次下載
    HDL仿真<b class='flag-5'>軟件</b><b class='flag-5'>Modelsim</b>的<b class='flag-5'>安裝</b>教程資料免費下載

    Modelsim仿真軟件的入門指導(dǎo)教程免費下載

    軟件Modelsim的入門介紹,結(jié)合項目 包括GUI操作和腳本操作,適合學(xué)習(xí)FPGA或者ASIC設(shè)計的人員
    發(fā)表于 12-05 08:00 ?2次下載
    <b class='flag-5'>Modelsim</b>仿真<b class='flag-5'>軟件</b>的入門指導(dǎo)教程免費下載

    詳解VivadoModelsim關(guān)聯(lián)方法及器件庫編譯

    vivado中設(shè)置modelsim(即第三方仿真工具)的安裝路徑。在vivado菜單中選擇“Tools”——》“Options.。?!?,選擇“General”選項卡,將滾動條拉倒最底
    的頭像 發(fā)表于 04-15 10:10 ?5224次閱讀
    詳解<b class='flag-5'>Vivado</b>與<b class='flag-5'>Modelsim</b>關(guān)聯(lián)<b class='flag-5'>方法</b>及器件庫編譯

    Vivado調(diào)用Questa Sim或ModelSim仿真小技巧

    調(diào)用第三方仿真軟件查看波形的過程中存在的一些問題。 1、添加新的觀測信號需要重新仿真 Vivado直接調(diào)用Modelsim/QuestaSim進(jìn)行仿真時,波形文件里默認(rèn)只會出現(xiàn)仿真最頂層中包含
    的頭像 發(fā)表于 09-02 10:12 ?8246次閱讀
    <b class='flag-5'>Vivado</b>調(diào)用Questa Sim或<b class='flag-5'>ModelSim</b>仿真小技巧

    SW2019-2020軟件安裝方法

    SW2019-2020軟件安裝方法
    發(fā)表于 11-10 16:13 ?2次下載

    Vivado調(diào)用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以調(diào)用Modelsim進(jìn)行仿真,下面將介紹如何對vivado進(jìn)行配置并調(diào)用Models
    的頭像 發(fā)表于 07-24 09:04 ?3360次閱讀
    <b class='flag-5'>Vivado</b>調(diào)用<b class='flag-5'>Modelsim</b>仿真