0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

Sigma-Delta小數(shù)分頻PLL中的分頻器該怎么做?

冬至子 ? 來源:一片冰芯 ? 作者:一片冰芯 ? 2023-10-31 12:54 ? 次閱讀

**1 **分頻器結(jié)構(gòu)及原理

文獻(xiàn)給出的分頻器結(jié)構(gòu)如圖1所示。該分頻器最高輸入頻率(f in )為16.3GHz,也就是一個(gè)周期只有(T in ,T in = 1/ f in )61.3ps。為了避免后級(jí)PFD無法分辨如此小的脈寬,線路中增加了脈寬拓展電路,通過3-bit Ripple Down-Counter將最小脈寬拓展了8倍。

8 bit分頻比控制字(N)通過控制8-bit Ripple Down-Counter使分頻器從16-257連續(xù)整數(shù)分頻。總的分頻比為N+2。

圖片

Fig1. PLL Divider

圖1中的DFF應(yīng)包含一個(gè)外部復(fù)位信號(hào),用于保證DFF初始輸出(圖1中的Load)為高電平。

初始Load為高電平時(shí),8-bit Ripple Down-Counter將8bit分頻比控制字(圖1中Divide Control, N)上的每bit配置(1或0)Load到8-bit Ripple Down-Counter DFF的輸出端,第一個(gè)CKin上升沿(或下降沿)到來時(shí)Load變?yōu)?,直到8個(gè)DFF輸出全部清零,Load信號(hào)再次置高,如此反復(fù),實(shí)現(xiàn)N分頻。

每個(gè)DFF輸出(dq)與輸入(di)相連實(shí)現(xiàn)二分頻,最終實(shí)現(xiàn)N+2分頻,如要實(shí)現(xiàn)257分頻時(shí),配置N=8'b11111111即可。

**2 **電路實(shí)現(xiàn)

圖1中的Ripple Down-Counter可參考脈沖吞咽計(jì)數(shù)器中的脈沖計(jì)數(shù)器或吞咽計(jì)數(shù)器 ^[3-5]^ ,如圖2所示。只需要8個(gè)DFF級(jí)聯(lián)并加上一些邏輯門就可實(shí)現(xiàn),有這方面需求的人,最好親自試一下,這里不再給出具體做法,要提醒的是計(jì)數(shù)器里的DFF最好用差分結(jié)構(gòu)。

圖片

Fig2. 基于脈沖呑咽計(jì)數(shù)器結(jié)構(gòu)的可編程分頻器

圖1中的SR Latch可由圖3實(shí)現(xiàn)。

圖片

Fig3. SR Latch的電路、符號(hào)圖及真值表

**3 **仿真結(jié)果

圖4給出了輸入頻率為10GHz,分頻比控制字N=00110000時(shí)的仿真結(jié)果。從上到下依次為輸入時(shí)鐘、拓展脈寬之前的輸出信號(hào)及8倍脈寬拓展后的輸出信號(hào)。圖中ckp頻率為10GHz,loadn為200MHz,脈寬為100ps,ckout為200MHz,脈寬為800ps。

圖片

Fig4. 分頻器仿真結(jié)果

**4 **思考與討論

圖1中的脈寬展寬電路,為什么用時(shí)序電路實(shí)現(xiàn),用組合邏輯也可以實(shí)現(xiàn),兩者有什么區(qū)別?用組合邏輯如何實(shí)現(xiàn)?工業(yè)上實(shí)用嗎?

利用這個(gè)分頻器如何實(shí)現(xiàn)小數(shù)分頻呢?小數(shù)分頻為何要引入Sigma-Delta?噪聲整形的原理是什么?

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 邏輯門
    +關(guān)注

    關(guān)注

    1

    文章

    138

    瀏覽量

    23998
  • 仿真器
    +關(guān)注

    關(guān)注

    14

    文章

    1011

    瀏覽量

    83552
  • 分頻器
    +關(guān)注

    關(guān)注

    43

    文章

    447

    瀏覽量

    49704
  • 計(jì)數(shù)器
    +關(guān)注

    關(guān)注

    32

    文章

    2248

    瀏覽量

    94178
  • PLL電路
    +關(guān)注

    關(guān)注

    0

    文章

    92

    瀏覽量

    6379
收藏 人收藏

    評(píng)論

    相關(guān)推薦

    基于FPGA的小數(shù)分頻器如何去實(shí)現(xiàn)?

    雙模前置小數(shù)分頻原理是什么?如何對(duì)小數(shù)分頻器進(jìn)行仿真測(cè)試?
    發(fā)表于 04-29 07:29

    怎么把小數(shù)分頻控制字與整數(shù)分頻控制字結(jié)合起來去控制可編程分頻器?

    要設(shè)計(jì)小數(shù)分頻PLL,基本架構(gòu)已經(jīng)確定:使用基于MASH111的DSM,雙模預(yù)分頻器+PScounter實(shí)現(xiàn)?,F(xiàn)在遇到的問題是,不知道怎么把小數(shù)分頻控制字經(jīng)過DSM后的輸出與整
    發(fā)表于 06-24 07:20

    任意分頻系數(shù)小數(shù)分頻器相關(guān)文檔及源代碼

    任意分頻系數(shù)小數(shù)分頻器相關(guān)文檔及源代碼
    發(fā)表于 08-03 09:49 ?75次下載
    任意<b class='flag-5'>分頻</b>系數(shù)<b class='flag-5'>小數(shù)分頻器</b>相關(guān)文檔及源代碼

    小數(shù)分頻鎖相環(huán)的工作原理

    議程PLL介紹及小數(shù)分頻鎖相環(huán)的優(yōu)點(diǎn)小數(shù)分頻鎖相環(huán)的錯(cuò)誤使用小數(shù)分頻鎖相環(huán)詳解參考雜散及如何減少雜散總結(jié)
    發(fā)表于 05-28 14:58 ?0次下載

    FPGA實(shí)現(xiàn)小數(shù)分頻器

    介紹了一種基于FPGA的雙模前置小數(shù)分頻器分頻原理及電路設(shè)計(jì),并用VHDL編程實(shí)現(xiàn)分頻器的仿真.
    發(fā)表于 11-29 16:43 ?48次下載
    FPGA實(shí)現(xiàn)<b class='flag-5'>小數(shù)分頻器</b>

    △∑小數(shù)頻率合成器小數(shù)分頻器設(shè)計(jì)

    △∑小數(shù)頻率合成器小數(shù)分頻器設(shè)計(jì)設(shè)計(jì)方案、技術(shù)指標(biāo)、調(diào)試等。
    發(fā)表于 05-24 10:03 ?9次下載

    分頻器的作用是什么 半整數(shù)分頻器原理圖分析

    分頻器主要分為偶數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻小數(shù)分頻,如果在設(shè)計(jì)過程采用參數(shù)化設(shè)計(jì),就可以隨
    發(fā)表于 02-01 01:28 ?1.6w次閱讀
    <b class='flag-5'>分頻器</b>的作用是什么 半整<b class='flag-5'>數(shù)分頻器</b>原理圖分析

    如何使用FPGA進(jìn)行任意小數(shù)分頻器的設(shè)計(jì)

    論文分析了雙模前置小數(shù)分頻器分頻原理和電路實(shí)現(xiàn)。結(jié)合脈沖刪除技術(shù),提出了一種適于硬件電路實(shí)現(xiàn)的任意小數(shù)分頻的設(shè)計(jì)方案 ,用 VerilogHDL語 言編程 ,在 QuartusII下對(duì) 此方案進(jìn) 行 了仿 真 ,并用 Cycl
    發(fā)表于 08-02 08:00 ?5次下載
    如何使用FPGA進(jìn)行任意<b class='flag-5'>小數(shù)分頻器</b>的設(shè)計(jì)

    數(shù)分頻器的設(shè)計(jì)

    所謂“分頻”,就是把輸入信號(hào)的頻率變成成倍數(shù)地低于輸入頻率的輸出信號(hào)。數(shù)字電路分頻器主要是分為兩種:整數(shù)分頻小數(shù)分頻。其中整
    的頭像 發(fā)表于 03-23 15:06 ?1538次閱讀
    偶<b class='flag-5'>數(shù)分頻器</b>的設(shè)計(jì)

    數(shù)分頻器的設(shè)計(jì)

    上一篇文章介紹了偶分頻,今天來介紹一下奇數(shù)分頻器的設(shè)計(jì)。
    的頭像 發(fā)表于 03-23 15:06 ?968次閱讀
    奇<b class='flag-5'>數(shù)分頻器</b>的設(shè)計(jì)

    小數(shù)分頻器的設(shè)計(jì)

    前面分別介紹了偶數(shù)和奇數(shù)分頻(即整數(shù)分頻),接下來本文介紹小數(shù)分頻。
    的頭像 發(fā)表于 03-23 15:08 ?1006次閱讀
    <b class='flag-5'>小數(shù)分頻器</b>的設(shè)計(jì)

    數(shù)分頻、奇數(shù)分頻、半整數(shù)分頻小數(shù)分頻詳解

    初學(xué) Verilog 時(shí)許多模塊都是通過計(jì)數(shù)與分頻完成設(shè)計(jì),例如 PWM 脈寬調(diào)制、頻率計(jì)等。而分頻邏輯往往通過計(jì)數(shù)邏輯完成。本節(jié)主要對(duì)偶數(shù)分頻、奇數(shù)分頻、半整
    的頭像 發(fā)表于 03-29 11:38 ?4507次閱讀
    偶<b class='flag-5'>數(shù)分頻</b>、奇<b class='flag-5'>數(shù)分頻</b>、半整<b class='flag-5'>數(shù)分頻</b>和<b class='flag-5'>小數(shù)分頻</b>詳解

    分頻器小數(shù)分頻設(shè)計(jì)

    對(duì)于要求相位以及占空比嚴(yán)格的小數(shù)分頻,建議采用模擬電路實(shí)現(xiàn)。而使用數(shù)字電路實(shí)現(xiàn)只能保證盡量均勻,在長時(shí)間內(nèi)進(jìn)行分頻。
    的頭像 發(fā)表于 06-05 17:20 ?1478次閱讀
    <b class='flag-5'>分頻器</b>之<b class='flag-5'>小數(shù)分頻</b>設(shè)計(jì)

    FPGA學(xué)習(xí)-分頻器設(shè)計(jì)

    是用于滿足設(shè)計(jì)的需求。 分頻:產(chǎn)生比板載時(shí)鐘小的時(shí)鐘。 倍頻:產(chǎn)生比板載時(shí)鐘大的時(shí)鐘。 二:分頻器的種類 對(duì)于分頻電路來說,可以分為整數(shù)分頻小數(shù)分
    的頭像 發(fā)表于 11-03 15:55 ?1451次閱讀
    FPGA學(xué)習(xí)-<b class='flag-5'>分頻器</b>設(shè)計(jì)

    鎖相環(huán)整數(shù)分頻小數(shù)分頻的區(qū)別是什么?

    鎖相環(huán)整數(shù)分頻小數(shù)分頻的區(qū)別是什么? 鎖相環(huán)(PLL)是一種常用的電子電路,用于將輸入的時(shí)鐘信號(hào)與參考信號(hào)進(jìn)行同步,并生成輸出信號(hào)的一種技術(shù)。在PLL
    的頭像 發(fā)表于 01-31 15:24 ?2478次閱讀